diff --git a/applications/unb1_correlator/quartus/qsys_unb1_correlator.qsys b/applications/unb1_correlator/quartus/qsys_unb1_correlator.qsys
index 150d3eab88168e03679c38080831ca95f7890d0c..5cc71c09a7da6d1a3d215b8f50740ca4afc51ae9 100644
--- a/applications/unb1_correlator/quartus/qsys_unb1_correlator.qsys
+++ b/applications/unb1_correlator/quartus/qsys_unb1_correlator.qsys
@@ -12,6 +12,14 @@
    element $${FILENAME}
    {
    }
+   element CLK
+   {
+      datum _sortIndex
+      {
+         value = "18";
+         type = "int";
+      }
+   }
    element altpll_0
    {
       datum _sortIndex
@@ -20,11 +28,19 @@
          type = "int";
       }
    }
+   element altpll_1
+   {
+      datum _sortIndex
+      {
+         value = "17";
+         type = "int";
+      }
+   }
    element jtag_uart_0.avalon_jtag_slave
    {
       datum baseAddress
       {
-         value = "304";
+         value = "320";
          type = "long";
       }
    }
@@ -36,14 +52,6 @@
          type = "int";
       }
    }
-   element c0
-   {
-      datum _sortIndex
-      {
-         value = "1";
-         type = "int";
-      }
-   }
    element altpll_0.c0
    {
       datum _clockDomain
@@ -52,6 +60,14 @@
          type = "String";
       }
    }
+   element c0
+   {
+      datum _sortIndex
+      {
+         value = "1";
+         type = "int";
+      }
+   }
    element altpll_0.c1
    {
       datum _clockDomain
@@ -105,32 +121,27 @@
          type = "String";
       }
    }
-   element pio_system_info.mem
+   element reg_diag_data_buf.mem
    {
-      datum _lockedAddress
-      {
-         value = "1";
-         type = "boolean";
-      }
       datum baseAddress
       {
-         value = "0";
+         value = "20480";
          type = "long";
       }
    }
-   element pio_pps.mem
+   element ram_diag_data_buf.mem
    {
       datum baseAddress
       {
-         value = "312";
+         value = "524288";
          type = "long";
       }
    }
-   element ram_diag_data_buf.mem
+   element pio_pps.mem
    {
       datum baseAddress
       {
-         value = "524288";
+         value = "328";
          type = "long";
       }
    }
@@ -160,19 +171,24 @@
          type = "long";
       }
    }
-   element reg_diag_data_buf.mem
+   element reg_unb_sens.mem
    {
       datum baseAddress
       {
-         value = "20480";
+         value = "224";
          type = "long";
       }
    }
-   element reg_unb_sens.mem
+   element pio_system_info.mem
    {
+      datum _lockedAddress
+      {
+         value = "1";
+         type = "boolean";
+      }
       datum baseAddress
       {
-         value = "224";
+         value = "0";
          type = "long";
       }
    }
@@ -250,6 +266,14 @@
          type = "String";
       }
    }
+   element altpll_1.pll_slave
+   {
+      datum baseAddress
+      {
+         value = "304";
+         type = "long";
+      }
+   }
    element altpll_0.pll_slave
    {
       datum _lockedAddress
@@ -271,6 +295,14 @@
          type = "int";
       }
    }
+   element reg_diag_data_buf.read
+   {
+      datum _tags
+      {
+         value = "";
+         type = "String";
+      }
+   }
    element reg_diag_data_buf
    {
       datum _sortIndex
@@ -303,40 +335,40 @@
          type = "int";
       }
    }
-   element pio_debug_wave.s1
+   element onchip_memory2_0.s1
    {
+      datum _lockedAddress
+      {
+         value = "1";
+         type = "boolean";
+      }
       datum baseAddress
       {
-         value = "272";
+         value = "131072";
          type = "long";
       }
    }
-   element pio_wdi.s1
+   element timer_0.s1
    {
       datum baseAddress
       {
-         value = "288";
+         value = "192";
          type = "long";
       }
    }
-   element onchip_memory2_0.s1
+   element pio_debug_wave.s1
    {
-      datum _lockedAddress
-      {
-         value = "1";
-         type = "boolean";
-      }
       datum baseAddress
       {
-         value = "131072";
+         value = "272";
          type = "long";
       }
    }
-   element timer_0.s1
+   element pio_wdi.s1
    {
       datum baseAddress
       {
-         value = "192";
+         value = "288";
          type = "long";
       }
    }
@@ -348,6 +380,14 @@
          type = "int";
       }
    }
+   element reg_diag_data_buf.write
+   {
+      datum _tags
+      {
+         value = "";
+         type = "String";
+      }
+   }
 }
 ]]></parameter>
  <parameter name="clockCrossingAdapter" value="HANDSHAKE" />
@@ -363,7 +403,7 @@
  <parameter name="projectName" value="unb1_correlator.qpf" />
  <parameter name="sopcBorderPoints" value="false" />
  <parameter name="systemHash" value="1" />
- <parameter name="timeStamp" value="1416325611226" />
+ <parameter name="timeStamp" value="1417186462108" />
  <parameter name="useTestBenchNamingPattern" value="false" />
  <instanceScript></instanceScript>
  <interface
@@ -945,6 +985,24 @@
    internal="reg_diag_data_buf.reset"
    type="conduit"
    dir="end" />
+ <interface name="clk" internal="CLK.clk_in" type="clock" dir="end" />
+ <interface
+   name="altpll_1_areset_conduit"
+   internal="altpll_1.areset_conduit"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="altpll_1_locked_conduit"
+   internal="altpll_1.locked_conduit"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="altpll_1_phasedone_conduit"
+   internal="altpll_1.phasedone_conduit"
+   type="conduit"
+   dir="end" />
+ <interface name="altpll_1_c0" internal="altpll_1.c0" type="clock" dir="start" />
+ <interface name="reset" internal="CLK.clk_in_reset" type="reset" dir="end" />
  <module kind="clock_source" version="11.1" enabled="1" name="clk_0">
   <parameter name="clockFrequency" value="25000000" />
   <parameter name="clockFrequencyKnown" value="true" />
@@ -1322,7 +1380,7 @@ q]]></parameter>
   <parameter name="tightlyCoupledInstructionMaster2AddrWidth" value="1" />
   <parameter name="tightlyCoupledInstructionMaster3AddrWidth" value="1" />
   <parameter name="instSlaveMapParam"><![CDATA[<address-map><slave name='cpu_0.jtag_debug_module' start='0x3800' end='0x4000' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' /></address-map>]]></parameter>
-  <parameter name="dataSlaveMapParam"><![CDATA[<address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' /><slave name='avs_eth_0.mms_reg' start='0x80' end='0xC0' /><slave name='timer_0.s1' start='0xC0' end='0xE0' /><slave name='reg_unb_sens.mem' start='0xE0' end='0x100' /><slave name='altpll_0.pll_slave' start='0x100' end='0x110' /><slave name='pio_debug_wave.s1' start='0x110' end='0x120' /><slave name='pio_wdi.s1' start='0x120' end='0x130' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x130' end='0x138' /><slave name='pio_pps.mem' start='0x138' end='0x140' /><slave name='rom_system_info.mem' start='0x1000' end='0x2000' /><slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' /><slave name='cpu_0.jtag_debug_module' start='0x3800' end='0x4000' /><slave name='avs_eth_0.mms_ram' start='0x4000' end='0x5000' /><slave name='reg_diag_data_buf.mem' start='0x5000' end='0x6000' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' /><slave name='ram_diag_data_buf.mem' start='0x80000' end='0x100000' /></address-map>]]></parameter>
+  <parameter name="dataSlaveMapParam"><![CDATA[<address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' /><slave name='avs_eth_0.mms_reg' start='0x80' end='0xC0' /><slave name='timer_0.s1' start='0xC0' end='0xE0' /><slave name='reg_unb_sens.mem' start='0xE0' end='0x100' /><slave name='altpll_0.pll_slave' start='0x100' end='0x110' /><slave name='pio_debug_wave.s1' start='0x110' end='0x120' /><slave name='pio_wdi.s1' start='0x120' end='0x130' /><slave name='altpll_1.pll_slave' start='0x130' end='0x140' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x140' end='0x148' /><slave name='pio_pps.mem' start='0x148' end='0x150' /><slave name='rom_system_info.mem' start='0x1000' end='0x2000' /><slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' /><slave name='cpu_0.jtag_debug_module' start='0x3800' end='0x4000' /><slave name='avs_eth_0.mms_ram' start='0x4000' end='0x5000' /><slave name='reg_diag_data_buf.mem' start='0x5000' end='0x6000' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' /><slave name='ram_diag_data_buf.mem' start='0x80000' end='0x100000' /></address-map>]]></parameter>
   <parameter name="clockFrequency" value="50000000" />
   <parameter name="deviceFamilyName" value="Stratix IV" />
   <parameter name="internalIrqMaskSystemInfo" value="7" />
@@ -1360,6 +1418,179 @@ q]]></parameter>
   <parameter name="g_dat_w" value="32" />
   <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="50000000" />
  </module>
+ <module kind="altpll" version="11.1" enabled="1" name="altpll_1">
+  <parameter name="HIDDEN_CUSTOM_ELABORATION">altpll_avalon_elaboration</parameter>
+  <parameter name="HIDDEN_CUSTOM_POST_EDIT">altpll_avalon_post_edit</parameter>
+  <parameter name="INTENDED_DEVICE_FAMILY" value="Stratix IV" />
+  <parameter name="WIDTH_CLOCK" value="10" />
+  <parameter name="WIDTH_PHASECOUNTERSELECT" value="" />
+  <parameter name="PRIMARY_CLOCK" value="" />
+  <parameter name="INCLK0_INPUT_FREQUENCY" value="5000" />
+  <parameter name="INCLK1_INPUT_FREQUENCY" value="" />
+  <parameter name="OPERATION_MODE" value="NORMAL" />
+  <parameter name="PLL_TYPE" value="AUTO" />
+  <parameter name="QUALIFY_CONF_DONE" value="" />
+  <parameter name="COMPENSATE_CLOCK" value="CLK0" />
+  <parameter name="SCAN_CHAIN" value="" />
+  <parameter name="GATE_LOCK_SIGNAL" value="" />
+  <parameter name="GATE_LOCK_COUNTER" value="" />
+  <parameter name="LOCK_HIGH" value="" />
+  <parameter name="LOCK_LOW" value="" />
+  <parameter name="VALID_LOCK_MULTIPLIER" value="" />
+  <parameter name="INVALID_LOCK_MULTIPLIER" value="" />
+  <parameter name="SWITCH_OVER_ON_LOSSCLK" value="" />
+  <parameter name="SWITCH_OVER_ON_GATED_LOCK" value="" />
+  <parameter name="ENABLE_SWITCH_OVER_COUNTER" value="" />
+  <parameter name="SKIP_VCO" value="" />
+  <parameter name="SWITCH_OVER_COUNTER" value="" />
+  <parameter name="SWITCH_OVER_TYPE" value="" />
+  <parameter name="FEEDBACK_SOURCE" value="" />
+  <parameter name="BANDWIDTH" value="" />
+  <parameter name="BANDWIDTH_TYPE" value="AUTO" />
+  <parameter name="SPREAD_FREQUENCY" value="" />
+  <parameter name="DOWN_SPREAD" value="" />
+  <parameter name="SELF_RESET_ON_GATED_LOSS_LOCK" value="" />
+  <parameter name="SELF_RESET_ON_LOSS_LOCK" value="" />
+  <parameter name="CLK0_MULTIPLY_BY" value="5" />
+  <parameter name="CLK1_MULTIPLY_BY" value="" />
+  <parameter name="CLK2_MULTIPLY_BY" value="" />
+  <parameter name="CLK3_MULTIPLY_BY" value="" />
+  <parameter name="CLK4_MULTIPLY_BY" value="" />
+  <parameter name="CLK5_MULTIPLY_BY" value="" />
+  <parameter name="CLK6_MULTIPLY_BY" value="" />
+  <parameter name="CLK7_MULTIPLY_BY" value="" />
+  <parameter name="CLK8_MULTIPLY_BY" value="" />
+  <parameter name="CLK9_MULTIPLY_BY" value="" />
+  <parameter name="EXTCLK0_MULTIPLY_BY" value="" />
+  <parameter name="EXTCLK1_MULTIPLY_BY" value="" />
+  <parameter name="EXTCLK2_MULTIPLY_BY" value="" />
+  <parameter name="EXTCLK3_MULTIPLY_BY" value="" />
+  <parameter name="CLK0_DIVIDE_BY" value="2" />
+  <parameter name="CLK1_DIVIDE_BY" value="" />
+  <parameter name="CLK2_DIVIDE_BY" value="" />
+  <parameter name="CLK3_DIVIDE_BY" value="" />
+  <parameter name="CLK4_DIVIDE_BY" value="" />
+  <parameter name="CLK5_DIVIDE_BY" value="" />
+  <parameter name="CLK6_DIVIDE_BY" value="" />
+  <parameter name="CLK7_DIVIDE_BY" value="" />
+  <parameter name="CLK8_DIVIDE_BY" value="" />
+  <parameter name="CLK9_DIVIDE_BY" value="" />
+  <parameter name="EXTCLK0_DIVIDE_BY" value="" />
+  <parameter name="EXTCLK1_DIVIDE_BY" value="" />
+  <parameter name="EXTCLK2_DIVIDE_BY" value="" />
+  <parameter name="EXTCLK3_DIVIDE_BY" value="" />
+  <parameter name="CLK0_PHASE_SHIFT" value="0" />
+  <parameter name="CLK1_PHASE_SHIFT" value="" />
+  <parameter name="CLK2_PHASE_SHIFT" value="" />
+  <parameter name="CLK3_PHASE_SHIFT" value="" />
+  <parameter name="CLK4_PHASE_SHIFT" value="" />
+  <parameter name="CLK5_PHASE_SHIFT" value="" />
+  <parameter name="CLK6_PHASE_SHIFT" value="" />
+  <parameter name="CLK7_PHASE_SHIFT" value="" />
+  <parameter name="CLK8_PHASE_SHIFT" value="" />
+  <parameter name="CLK9_PHASE_SHIFT" value="" />
+  <parameter name="EXTCLK0_PHASE_SHIFT" value="" />
+  <parameter name="EXTCLK1_PHASE_SHIFT" value="" />
+  <parameter name="EXTCLK2_PHASE_SHIFT" value="" />
+  <parameter name="EXTCLK3_PHASE_SHIFT" value="" />
+  <parameter name="CLK0_DUTY_CYCLE" value="50" />
+  <parameter name="CLK1_DUTY_CYCLE" value="" />
+  <parameter name="CLK2_DUTY_CYCLE" value="" />
+  <parameter name="CLK3_DUTY_CYCLE" value="" />
+  <parameter name="CLK4_DUTY_CYCLE" value="" />
+  <parameter name="CLK5_DUTY_CYCLE" value="" />
+  <parameter name="CLK6_DUTY_CYCLE" value="" />
+  <parameter name="CLK7_DUTY_CYCLE" value="" />
+  <parameter name="CLK8_DUTY_CYCLE" value="" />
+  <parameter name="CLK9_DUTY_CYCLE" value="" />
+  <parameter name="EXTCLK0_DUTY_CYCLE" value="" />
+  <parameter name="EXTCLK1_DUTY_CYCLE" value="" />
+  <parameter name="EXTCLK2_DUTY_CYCLE" value="" />
+  <parameter name="EXTCLK3_DUTY_CYCLE" value="" />
+  <parameter name="PORT_clkena0" value="PORT_UNUSED" />
+  <parameter name="PORT_clkena1" value="PORT_UNUSED" />
+  <parameter name="PORT_clkena2" value="PORT_UNUSED" />
+  <parameter name="PORT_clkena3" value="PORT_UNUSED" />
+  <parameter name="PORT_clkena4" value="PORT_UNUSED" />
+  <parameter name="PORT_clkena5" value="PORT_UNUSED" />
+  <parameter name="PORT_extclkena0" value="" />
+  <parameter name="PORT_extclkena1" value="" />
+  <parameter name="PORT_extclkena2" value="" />
+  <parameter name="PORT_extclkena3" value="" />
+  <parameter name="PORT_extclk0" value="" />
+  <parameter name="PORT_extclk1" value="" />
+  <parameter name="PORT_extclk2" value="" />
+  <parameter name="PORT_extclk3" value="" />
+  <parameter name="PORT_CLKBAD0" value="PORT_UNUSED" />
+  <parameter name="PORT_CLKBAD1" value="PORT_UNUSED" />
+  <parameter name="PORT_clk0" value="PORT_USED" />
+  <parameter name="PORT_clk1" value="PORT_UNUSED" />
+  <parameter name="PORT_clk2" value="PORT_UNUSED" />
+  <parameter name="PORT_clk3" value="PORT_UNUSED" />
+  <parameter name="PORT_clk4" value="PORT_UNUSED" />
+  <parameter name="PORT_clk5" value="PORT_UNUSED" />
+  <parameter name="PORT_clk6" value="PORT_UNUSED" />
+  <parameter name="PORT_clk7" value="PORT_UNUSED" />
+  <parameter name="PORT_clk8" value="PORT_UNUSED" />
+  <parameter name="PORT_clk9" value="PORT_UNUSED" />
+  <parameter name="PORT_SCANDATA" value="PORT_UNUSED" />
+  <parameter name="PORT_SCANDATAOUT" value="PORT_UNUSED" />
+  <parameter name="PORT_SCANDONE" value="PORT_UNUSED" />
+  <parameter name="PORT_SCLKOUT1" value="" />
+  <parameter name="PORT_SCLKOUT0" value="" />
+  <parameter name="PORT_ACTIVECLOCK" value="PORT_UNUSED" />
+  <parameter name="PORT_CLKLOSS" value="PORT_UNUSED" />
+  <parameter name="PORT_INCLK1" value="PORT_UNUSED" />
+  <parameter name="PORT_INCLK0" value="PORT_USED" />
+  <parameter name="PORT_FBIN" value="PORT_UNUSED" />
+  <parameter name="PORT_PLLENA" value="PORT_UNUSED" />
+  <parameter name="PORT_CLKSWITCH" value="PORT_UNUSED" />
+  <parameter name="PORT_ARESET" value="PORT_USED" />
+  <parameter name="PORT_PFDENA" value="PORT_UNUSED" />
+  <parameter name="PORT_SCANCLK" value="PORT_UNUSED" />
+  <parameter name="PORT_SCANACLR" value="PORT_UNUSED" />
+  <parameter name="PORT_SCANREAD" value="PORT_UNUSED" />
+  <parameter name="PORT_SCANWRITE" value="PORT_UNUSED" />
+  <parameter name="PORT_ENABLE0" value="" />
+  <parameter name="PORT_ENABLE1" value="" />
+  <parameter name="PORT_LOCKED" value="PORT_USED" />
+  <parameter name="PORT_CONFIGUPDATE" value="PORT_UNUSED" />
+  <parameter name="PORT_FBOUT" value="PORT_UNUSED" />
+  <parameter name="PORT_PHASEDONE" value="PORT_UNUSED" />
+  <parameter name="PORT_PHASESTEP" value="PORT_UNUSED" />
+  <parameter name="PORT_PHASEUPDOWN" value="PORT_UNUSED" />
+  <parameter name="PORT_SCANCLKENA" value="PORT_UNUSED" />
+  <parameter name="PORT_PHASECOUNTERSELECT" value="PORT_UNUSED" />
+  <parameter name="PORT_VCOOVERRANGE" value="" />
+  <parameter name="PORT_VCOUNDERRANGE" value="" />
+  <parameter name="DPA_MULTIPLY_BY" value="" />
+  <parameter name="DPA_DIVIDE_BY" value="" />
+  <parameter name="DPA_DIVIDER" value="" />
+  <parameter name="VCO_MULTIPLY_BY" value="" />
+  <parameter name="VCO_DIVIDE_BY" value="" />
+  <parameter name="SCLKOUT0_PHASE_SHIFT" value="" />
+  <parameter name="SCLKOUT1_PHASE_SHIFT" value="" />
+  <parameter name="VCO_FREQUENCY_CONTROL" value="" />
+  <parameter name="VCO_PHASE_SHIFT_STEP" value="" />
+  <parameter name="USING_FBMIMICBIDIR_PORT" value="OFF" />
+  <parameter name="SCAN_CHAIN_MIF_FILE" value="" />
+  <parameter name="AVALON_USE_SEPARATE_SYSCLK" value="NO" />
+  <parameter name="HIDDEN_CONSTANTS">CT#PORT_clk9 PORT_UNUSED CT#PORT_clk8 PORT_UNUSED CT#PORT_clk7 PORT_UNUSED CT#PORT_clk6 PORT_UNUSED CT#PORT_clk5 PORT_UNUSED CT#PORT_clk4 PORT_UNUSED CT#PORT_clk3 PORT_UNUSED CT#PORT_clk2 PORT_UNUSED CT#PORT_clk1 PORT_UNUSED CT#PORT_clk0 PORT_USED CT#CLK0_MULTIPLY_BY 5 CT#PORT_SCANWRITE PORT_UNUSED CT#PORT_SCANACLR PORT_UNUSED CT#PORT_PFDENA PORT_UNUSED CT#PORT_PLLENA PORT_UNUSED CT#PORT_SCANDATA PORT_UNUSED CT#PORT_SCANCLKENA PORT_UNUSED CT#WIDTH_CLOCK 10 CT#PORT_SCANDATAOUT PORT_UNUSED CT#LPM_TYPE altpll CT#PLL_TYPE AUTO CT#CLK0_PHASE_SHIFT 0 CT#PORT_PHASEDONE PORT_UNUSED CT#OPERATION_MODE NORMAL CT#PORT_CONFIGUPDATE PORT_UNUSED CT#COMPENSATE_CLOCK CLK0 CT#PORT_CLKSWITCH PORT_UNUSED CT#INCLK0_INPUT_FREQUENCY 5000 CT#PORT_SCANDONE PORT_UNUSED CT#PORT_CLKLOSS PORT_UNUSED CT#PORT_INCLK1 PORT_UNUSED CT#AVALON_USE_SEPARATE_SYSCLK NO CT#PORT_INCLK0 PORT_USED CT#PORT_clkena5 PORT_UNUSED CT#PORT_clkena4 PORT_UNUSED CT#PORT_clkena3 PORT_UNUSED CT#PORT_clkena2 PORT_UNUSED CT#PORT_clkena1 PORT_UNUSED CT#PORT_FBOUT PORT_UNUSED CT#PORT_clkena0 PORT_UNUSED CT#PORT_ARESET PORT_USED CT#BANDWIDTH_TYPE AUTO CT#INTENDED_DEVICE_FAMILY {Stratix IV} CT#PORT_SCANREAD PORT_UNUSED CT#PORT_PHASESTEP PORT_UNUSED CT#PORT_SCANCLK PORT_UNUSED CT#PORT_CLKBAD1 PORT_UNUSED CT#PORT_CLKBAD0 PORT_UNUSED CT#PORT_FBIN PORT_UNUSED CT#PORT_PHASEUPDOWN PORT_UNUSED CT#PORT_PHASECOUNTERSELECT PORT_UNUSED CT#PORT_ACTIVECLOCK PORT_UNUSED CT#CLK0_DUTY_CYCLE 50 CT#CLK0_DIVIDE_BY 2 CT#USING_FBMIMICBIDIR_PORT OFF CT#PORT_LOCKED PORT_USED</parameter>
+  <parameter name="HIDDEN_PRIVATES">PT#GLOCKED_FEATURE_ENABLED 0 PT#SPREAD_FEATURE_ENABLED 0 PT#BANDWIDTH_FREQ_UNIT MHz PT#CUR_DEDICATED_CLK c0 PT#INCLK0_FREQ_EDIT 200.000 PT#BANDWIDTH_PRESET Low PT#PLL_LVDS_PLL_CHECK 0 PT#BANDWIDTH_USE_PRESET 0 PT#AVALON_USE_SEPARATE_SYSCLK NO PT#PLL_ENHPLL_CHECK 0 PT#OUTPUT_FREQ_UNIT0 MHz PT#PHASE_RECONFIG_FEATURE_ENABLED 1 PT#CREATE_CLKBAD_CHECK 0 PT#CLKSWITCH_CHECK 0 PT#INCLK1_FREQ_EDIT 100.000 PT#NORMAL_MODE_RADIO 1 PT#SRC_SYNCH_COMP_RADIO 0 PT#PLL_ARESET_CHECK 1 PT#LONG_SCAN_RADIO 1 PT#SCAN_FEATURE_ENABLED 1 PT#PHASE_RECONFIG_INPUTS_CHECK 0 PT#USE_CLK0 1 PT#PRIMARY_CLK_COMBO inclk0 PT#BANDWIDTH 1.000 PT#GLOCKED_COUNTER_EDIT_CHANGED 1 PT#PLL_FASTPLL_CHECK 0 PT#SPREAD_FREQ_UNIT KHz PT#PLL_AUTOPLL_CHECK 1 PT#LVDS_PHASE_SHIFT_UNIT0 deg PT#SWITCHOVER_FEATURE_ENABLED 0 PT#MIG_DEVICE_SPEED_GRADE Any PT#OUTPUT_FREQ_MODE0 1 PT#BANDWIDTH_FEATURE_ENABLED 1 PT#INCLK0_FREQ_UNIT_COMBO MHz PT#ZERO_DELAY_RADIO 0 PT#OUTPUT_FREQ0 500.00000000 PT#SHORT_SCAN_RADIO 0 PT#LVDS_MODE_DATA_RATE_DIRTY 0 PT#CUR_FBIN_CLK c0 PT#PLL_ADVANCED_PARAM_CHECK 0 PT#CLKBAD_SWITCHOVER_CHECK 0 PT#PHASE_SHIFT_STEP_ENABLED_CHECK 0 PT#DEVICE_SPEED_GRADE Any PT#PLL_FBMIMIC_CHECK 0 PT#LVDS_MODE_DATA_RATE {Not Available} PT#LOCKED_OUTPUT_CHECK 1 PT#SPREAD_PERCENT 0.500 PT#PHASE_SHIFT0 0.00000000 PT#DIV_FACTOR0 1 PT#CNX_NO_COMPENSATE_RADIO 0 PT#CREATE_INCLK1_CHECK 0 PT#GLOCK_COUNTER_EDIT 1048575 PT#INCLK1_FREQ_UNIT_COMBO MHz PT#EFF_OUTPUT_FREQ_VALUE0 500.000000 PT#SPREAD_FREQ 50.000 PT#USE_MIL_SPEED_GRADE 0 PT#EXPLICIT_SWITCHOVER_COUNTER 0 PT#STICKY_CLK0 1 PT#EXT_FEEDBACK_RADIO 0 PT#SWITCHOVER_COUNT_EDIT 1 PT#SELF_RESET_LOCK_LOSS 0 PT#PLL_PFDENA_CHECK 0 PT#INT_FEEDBACK__MODE_RADIO 1 PT#INCLK1_FREQ_EDIT_CHANGED 1 PT#CLKLOSS_CHECK 0 PT#SYNTH_WRAPPER_GEN_POSTFIX 0 PT#PHASE_SHIFT_UNIT0 deg PT#BANDWIDTH_USE_AUTO 1 PT#HAS_MANUAL_SWITCHOVER 1 PT#MULT_FACTOR0 1 PT#SPREAD_USE 0 PT#GLOCKED_MODE_CHECK 0 PT#SACN_INPUTS_CHECK 0 PT#DUTY_CYCLE0 50.00000000 PT#INTENDED_DEVICE_FAMILY {Stratix IV} PT#PLL_TARGET_HARCOPY_CHECK 0 PT#INCLK1_FREQ_UNIT_CHANGED 1 PT#RECONFIG_FILE ALTPLL1417186481242185.mif PT#ACTIVECLK_CHECK 0</parameter>
+  <parameter name="HIDDEN_USED_PORTS">UP#locked used UP#c0 used UP#areset used UP#inclk0 used</parameter>
+  <parameter name="HIDDEN_IS_NUMERIC">IN#WIDTH_CLOCK 1 IN#CLK0_DUTY_CYCLE 1 IN#PLL_TARGET_HARCOPY_CHECK 1 IN#SWITCHOVER_COUNT_EDIT 1 IN#INCLK0_INPUT_FREQUENCY 1 IN#PLL_LVDS_PLL_CHECK 1 IN#PLL_AUTOPLL_CHECK 1 IN#PLL_FASTPLL_CHECK 1 IN#PLL_ENHPLL_CHECK 1 IN#DIV_FACTOR0 1 IN#LVDS_MODE_DATA_RATE_DIRTY 1 IN#GLOCK_COUNTER_EDIT 1 IN#CLK0_DIVIDE_BY 1 IN#MULT_FACTOR0 1 IN#CLK0_MULTIPLY_BY 1 IN#USE_MIL_SPEED_GRADE 1</parameter>
+  <parameter name="HIDDEN_MF_PORTS">MF#areset 1 MF#clk 1 MF#locked 1 MF#inclk 1</parameter>
+  <parameter name="HIDDEN_IF_PORTS">IF#locked {output 0} IF#reset {input 0} IF#clk {input 0} IF#readdata {output 32} IF#write {input 0} IF#phasedone {output 0} IF#address {input 2} IF#c0 {output 0} IF#writedata {input 32} IF#read {input 0} IF#areset {input 0}</parameter>
+  <parameter name="HIDDEN_IS_FIRST_EDIT" value="0" />
+  <parameter name="AUTO_INCLK_INTERFACE_CLOCK_RATE" value="200000000" />
+  <parameter name="AUTO_DEVICE_FAMILY" value="Stratix IV" />
+ </module>
+ <module kind="clock_source" version="11.1" enabled="1" name="CLK">
+  <parameter name="clockFrequency" value="200000000" />
+  <parameter name="clockFrequencyKnown" value="true" />
+  <parameter name="inputClockFrequency" value="0" />
+  <parameter name="resetSynchronousEdges" value="NONE" />
+ </module>
  <connection
    kind="avalon"
    version="11.1"
@@ -1398,7 +1629,7 @@ q]]></parameter>
    start="cpu_0.data_master"
    end="jtag_uart_0.avalon_jtag_slave">
   <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x0130" />
+  <parameter name="baseAddress" value="0x0140" />
  </connection>
  <connection
    kind="interrupt"
@@ -1507,7 +1738,7 @@ q]]></parameter>
    start="cpu_0.data_master"
    end="pio_pps.mem">
   <parameter name="arbitrationPriority" value="1" />
-  <parameter name="baseAddress" value="0x0138" />
+  <parameter name="baseAddress" value="0x0148" />
  </connection>
  <connection kind="clock" version="11.1" start="altpll_0.c0" end="reg_wdi.system" />
  <connection
@@ -1717,4 +1948,22 @@ q]]></parameter>
    version="11.1"
    start="altpll_0.c0"
    end="reg_diag_data_buf.system" />
+ <connection
+   kind="reset"
+   version="11.1"
+   start="CLK.clk_reset"
+   end="altpll_1.inclk_interface_reset" />
+ <connection
+   kind="avalon"
+   version="11.1"
+   start="cpu_0.data_master"
+   end="altpll_1.pll_slave">
+  <parameter name="arbitrationPriority" value="1" />
+  <parameter name="baseAddress" value="0x0130" />
+ </connection>
+ <connection
+   kind="clock"
+   version="11.1"
+   start="CLK.clk"
+   end="altpll_1.inclk_interface" />
 </system>
diff --git a/applications/unb1_correlator/src/vhdl/mmm_unb1_correlator.vhd b/applications/unb1_correlator/src/vhdl/mmm_unb1_correlator.vhd
index c2187bc31b7bd923751239e1a94a6af16cb4df39..65af29dc5b7df91b1c7c828a04e42f7ba9ad35dc 100644
--- a/applications/unb1_correlator/src/vhdl/mmm_unb1_correlator.vhd
+++ b/applications/unb1_correlator/src/vhdl/mmm_unb1_correlator.vhd
@@ -79,7 +79,14 @@ ENTITY mmm_unb1_correlator IS
     eth1g_reg_miso           : IN  t_mem_miso;  
     eth1g_reg_interrupt      : IN  STD_LOGIC; 
     eth1g_ram_mosi           : OUT t_mem_mosi;  
-    eth1g_ram_miso           : IN  t_mem_miso
+    eth1g_ram_miso           : IN  t_mem_miso;
+
+    -- Speed test using internal PLL:
+    clk_clk                  : IN STD_LOGIC;  
+    clk_clk_in_reset_reset_n : IN STD_LOGIC;
+    altpll_1_c0_clk          : OUT STD_LOGIC
+
+
   );
 END mmm_unb1_correlator;
 
@@ -194,7 +201,13 @@ ARCHITECTURE str OF mmm_unb1_correlator IS
           reg_diag_data_buf_write_export                : out std_logic;                                        -- export
           reg_diag_data_buf_address_export              : out std_logic_vector(9 downto 0);                     -- export
           reg_diag_data_buf_clk_export                  : out std_logic;                                        -- export
-          reg_diag_data_buf_reset_export                : out std_logic                                         -- export
+          reg_diag_data_buf_reset_export                : out std_logic;                                        -- export
+          clk_clk                  : in std_logic;
+          reset_reset_n : in std_logic;
+          altpll_1_c0_clk          : out std_logic;
+          altpll_1_areset_conduit_export     : in  std_logic; 
+          altpll_1_phasedone_conduit_export  : out std_logic;  
+          altpll_1_locked_conduit_export     : out std_logic  
       );
   end component qsys_unb1_correlator;
 
@@ -339,7 +352,15 @@ BEGIN
       reg_diag_data_buf_write_export                => reg_diag_data_buf_mosi.wr,       
       reg_diag_data_buf_address_export              => reg_diag_data_buf_mosi.address(9 DOWNTO 0),       
       reg_diag_data_buf_clk_export                  => OPEN,
-      reg_diag_data_buf_reset_export                => OPEN
+      reg_diag_data_buf_reset_export                => OPEN,
+
+      clk_clk                    => clk_clk,
+      reset_reset_n   => clk_clk_in_reset_reset_n,
+      altpll_1_c0_clk            => altpll_1_c0_clk,
+
+      altpll_1_areset_conduit_export       => xo_rst,
+      altpll_1_phasedone_conduit_export    => OPEN,
+      altpll_1_locked_conduit_export       => OPEN
       );
   END GENERATE;
 
diff --git a/applications/unb1_correlator/src/vhdl/unb1_correlator.vhd b/applications/unb1_correlator/src/vhdl/unb1_correlator.vhd
index 30bdac820a33d74e09a5a2ecbd7c4b19a2f00b0e..751fd758c02db3e59d123212fbd866adade41e42 100644
--- a/applications/unb1_correlator/src/vhdl/unb1_correlator.vhd
+++ b/applications/unb1_correlator/src/vhdl/unb1_correlator.vhd
@@ -116,13 +116,15 @@ ARCHITECTURE str OF unb1_correlator IS
   SIGNAL eth1g_ram_miso             : t_mem_miso;
 
   -- Correlator
-  CONSTANT c_nof_inputs             : NATURAL := 10; --24;
-  CONSTANT c_nof_mults              : NATURAL := (c_nof_inputs*(c_nof_inputs+1))/2;
+  CONSTANT c_nof_inputs             : NATURAL := 10;
+  CONSTANT c_nof_pre_mult_folds     : NATURAL := 0; 
   CONSTANT c_complex_data_w         : NATURAL := 16;
+  CONSTANT c_conjugate              : BOOLEAN := TRUE;
   CONSTANT c_nof_channels           : NATURAL := 64;
+  CONSTANT c_integration_period     : NATURAL := 0;
 
   -- Block generator
-  CONSTANT c_bg_block_size          : NATURAL := 1024;
+  CONSTANT c_bg_block_size          : NATURAL := c_nof_channels;
   CONSTANT c_bg_gapsize             : NATURAL := 0;
   CONSTANT c_bg_blocks_per_sync     : NATURAL := 10;
   CONSTANT c_bg_ctrl                : t_diag_block_gen := ('1',                      -- enable             
@@ -174,8 +176,12 @@ BEGIN
   -----------------------------------------------------------------------------
   u_correlator : ENTITY correlator_lib.correlator
   GENERIC MAP (
-    g_nof_inputs => c_nof_inputs,
-    g_nof_mults  => c_nof_mults
+    g_nof_inputs         => c_nof_inputs,
+    g_nof_pre_mult_folds => c_nof_pre_mult_folds,
+    g_data_w             => c_complex_data_w,
+    g_conjugate          => c_conjugate,
+    g_nof_channels       => c_nof_channels,
+    g_integration_period => c_integration_period
   )
   PORT MAP (
     clk         => dp_clk,
@@ -194,7 +200,7 @@ BEGIN
     g_data_w       => 64,
     g_data_type    => e_complex,
     g_buf_nof_data => c_nof_channels,
-    g_buf_use_sync => FALSE 
+    g_buf_use_sync => TRUE 
   )
   PORT MAP (
     mm_rst            => mm_rst,
@@ -207,6 +213,7 @@ BEGIN
     reg_data_buf_mosi => reg_diag_data_buf_mosi,
     reg_data_buf_miso => reg_diag_data_buf_miso,
 
+    in_sync           => correlator_src_out_arr(0).sop,
     in_sosi_arr       => correlator_src_out_arr
   );
 
@@ -223,7 +230,8 @@ BEGIN
     g_fw_version  => c_fw_version,
     g_mm_clk_freq => c_unb1_board_mm_clk_freq_50M,
     g_use_phy     => c_use_phy,
-    g_aux         => c_unb1_board_aux
+    g_aux         => c_unb1_board_aux,
+    g_dp_clk_use_pll => FALSE -- Use altpll_1 in QSYS
   )
   PORT MAP (
     -- Clock an reset signals
@@ -239,7 +247,7 @@ BEGIN
     epcs_clk                 => '0',
     
     dp_rst                   => dp_rst,
-    dp_clk                   => dp_clk,
+    dp_clk                   => OPEN, --dp_clk,
     dp_pps                   => OPEN,
     dp_rst_in                => dp_rst,
     dp_clk_in                => dp_clk,
@@ -279,7 +287,7 @@ BEGIN
         
     -- FPGA pins
     -- . General
-    CLK                      => CLK,
+    CLK                      => '0',
     PPS                      => PPS,
     WDI                      => WDI,
     INTA                     => INTA,
@@ -315,6 +323,10 @@ BEGIN
     mm_clk                   => mm_clk,       
     mm_locked                => mm_locked,    
 
+    clk_clk                  => CLK, -- altpll_1 ref clk (200MHz)
+    clk_clk_in_reset_reset_n => xo_rst_n,
+    altpll_1_c0_clk          => dp_clk, -- altpll_1 output clock
+
     -- PIOs
     pout_wdi                 => pout_wdi,
 
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_0.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_0.hex
index ff6a21d3df34293d7d7bc7d80381f54e69e84e3e..2adb9b5f5d9b4fef20a70575d4c60191d37f0c05 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_0.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_0.hex
@@ -62,964 +62,4 @@
 :04003D0000FD0004BE
 :04003E0000FE0004BC
 :04003F0000FF0004BA
-:0400400000C00003F9
-:0400410000C10003F7
-:0400420000C20003F5
-:0400430000C30003F3
-:0400440000C40003F1
-:0400450000C50003EF
-:0400460000C60003ED
-:0400470000C70003EB
-:0400480000C80003E9
-:0400490000C90004E6
-:04004A0000CA0004E4
-:04004B0000CB0004E2
-:04004C0000CC0004E0
-:04004D0000CD0004DE
-:04004E0000CE0004DC
-:04004F0000CF0004DA
-:0400500000D00004D8
-:0400510000D10004D6
-:0400520000D20004D4
-:0400530000D30004D2
-:0400540000D40004D0
-:0400550000D50004CE
-:0400560000D60004CC
-:0400570000D70004CA
-:0400580000D80004C8
-:0400590000D90004C6
-:04005A0000DA0004C4
-:04005B0000DB0004C2
-:04005C0000DC0004C0
-:04005D0000DD0004BE
-:04005E0000DE0004BC
-:04005F0000DF0004BA
-:0400600000E00004B8
-:0400610000E10004B6
-:0400620000E20004B4
-:0400630000E30004B2
-:0400640000E40004B0
-:0400650000E50004AE
-:0400660000E60004AC
-:0400670000E70004AA
-:0400680000E80004A8
-:0400690000E90004A6
-:04006A0000EA0004A4
-:04006B0000EB0004A2
-:04006C0000EC0004A0
-:04006D0000ED00049E
-:04006E0000EE00049C
-:04006F0000EF00049A
-:0400700000F0000498
-:0400710000F1000496
-:0400720000F2000494
-:0400730000F3000492
-:0400740000F4000490
-:0400750000F500048E
-:0400760000F600048C
-:0400770000F700048A
-:0400780000F8000488
-:0400790000F9000486
-:04007A0000FA000484
-:04007B0000FB000482
-:04007C0000FC000480
-:04007D0000FD00047E
-:04007E0000FE00047C
-:04007F0000FF00047A
-:0400800000C00003B9
-:0400810000C10003B7
-:0400820000C20003B5
-:0400830000C30003B3
-:0400840000C40003B1
-:0400850000C50003AF
-:0400860000C60003AD
-:0400870000C70003AB
-:0400880000C80003A9
-:0400890000C90004A6
-:04008A0000CA0004A4
-:04008B0000CB0004A2
-:04008C0000CC0004A0
-:04008D0000CD00049E
-:04008E0000CE00049C
-:04008F0000CF00049A
-:0400900000D0000498
-:0400910000D1000496
-:0400920000D2000494
-:0400930000D3000492
-:0400940000D4000490
-:0400950000D500048E
-:0400960000D600048C
-:0400970000D700048A
-:0400980000D8000488
-:0400990000D9000486
-:04009A0000DA000484
-:04009B0000DB000482
-:04009C0000DC000480
-:04009D0000DD00047E
-:04009E0000DE00047C
-:04009F0000DF00047A
-:0400A00000E0000478
-:0400A10000E1000476
-:0400A20000E2000474
-:0400A30000E3000472
-:0400A40000E4000470
-:0400A50000E500046E
-:0400A60000E600046C
-:0400A70000E700046A
-:0400A80000E8000468
-:0400A90000E9000466
-:0400AA0000EA000464
-:0400AB0000EB000462
-:0400AC0000EC000460
-:0400AD0000ED00045E
-:0400AE0000EE00045C
-:0400AF0000EF00045A
-:0400B00000F0000458
-:0400B10000F1000456
-:0400B20000F2000454
-:0400B30000F3000452
-:0400B40000F4000450
-:0400B50000F500044E
-:0400B60000F600044C
-:0400B70000F700044A
-:0400B80000F8000448
-:0400B90000F9000446
-:0400BA0000FA000444
-:0400BB0000FB000442
-:0400BC0000FC000440
-:0400BD0000FD00043E
-:0400BE0000FE00043C
-:0400BF0000FF00043A
-:0400C00000C0000379
-:0400C10000C1000377
-:0400C20000C2000375
-:0400C30000C3000373
-:0400C40000C4000371
-:0400C50000C500036F
-:0400C60000C600036D
-:0400C70000C700036B
-:0400C80000C8000369
-:0400C90000C9000466
-:0400CA0000CA000464
-:0400CB0000CB000462
-:0400CC0000CC000460
-:0400CD0000CD00045E
-:0400CE0000CE00045C
-:0400CF0000CF00045A
-:0400D00000D0000458
-:0400D10000D1000456
-:0400D20000D2000454
-:0400D30000D3000452
-:0400D40000D4000450
-:0400D50000D500044E
-:0400D60000D600044C
-:0400D70000D700044A
-:0400D80000D8000448
-:0400D90000D9000446
-:0400DA0000DA000444
-:0400DB0000DB000442
-:0400DC0000DC000440
-:0400DD0000DD00043E
-:0400DE0000DE00043C
-:0400DF0000DF00043A
-:0400E00000E0000438
-:0400E10000E1000436
-:0400E20000E2000434
-:0400E30000E3000432
-:0400E40000E4000430
-:0400E50000E500042E
-:0400E60000E600042C
-:0400E70000E700042A
-:0400E80000E8000428
-:0400E90000E9000426
-:0400EA0000EA000424
-:0400EB0000EB000422
-:0400EC0000EC000420
-:0400ED0000ED00041E
-:0400EE0000EE00041C
-:0400EF0000EF00041A
-:0400F00000F0000418
-:0400F10000F1000416
-:0400F20000F2000414
-:0400F30000F3000412
-:0400F40000F4000410
-:0400F50000F500040E
-:0400F60000F600040C
-:0400F70000F700040A
-:0400F80000F8000408
-:0400F90000F9000406
-:0400FA0000FA000404
-:0400FB0000FB000402
-:0400FC0000FC000400
-:0400FD0000FD0004FE
-:0400FE0000FE0004FC
-:0400FF0000FF0004FA
-:0401000000C0000338
-:0401010000C1000336
-:0401020000C2000334
-:0401030000C3000332
-:0401040000C4000330
-:0401050000C500032E
-:0401060000C600032C
-:0401070000C700032A
-:0401080000C8000328
-:0401090000C9000425
-:04010A0000CA000423
-:04010B0000CB000421
-:04010C0000CC00041F
-:04010D0000CD00041D
-:04010E0000CE00041B
-:04010F0000CF000419
-:0401100000D0000417
-:0401110000D1000415
-:0401120000D2000413
-:0401130000D3000411
-:0401140000D400040F
-:0401150000D500040D
-:0401160000D600040B
-:0401170000D7000409
-:0401180000D8000407
-:0401190000D9000405
-:04011A0000DA000403
-:04011B0000DB000401
-:04011C0000DC0004FF
-:04011D0000DD0004FD
-:04011E0000DE0004FB
-:04011F0000DF0004F9
-:0401200000E00004F7
-:0401210000E10004F5
-:0401220000E20004F3
-:0401230000E30004F1
-:0401240000E40004EF
-:0401250000E50004ED
-:0401260000E60004EB
-:0401270000E70004E9
-:0401280000E80004E7
-:0401290000E90004E5
-:04012A0000EA0004E3
-:04012B0000EB0004E1
-:04012C0000EC0004DF
-:04012D0000ED0004DD
-:04012E0000EE0004DB
-:04012F0000EF0004D9
-:0401300000F00004D7
-:0401310000F10004D5
-:0401320000F20004D3
-:0401330000F30004D1
-:0401340000F40004CF
-:0401350000F50004CD
-:0401360000F60004CB
-:0401370000F70004C9
-:0401380000F80004C7
-:0401390000F90004C5
-:04013A0000FA0004C3
-:04013B0000FB0004C1
-:04013C0000FC0004BF
-:04013D0000FD0004BD
-:04013E0000FE0004BB
-:04013F0000FF0004B9
-:0401400000C00003F8
-:0401410000C10003F6
-:0401420000C20003F4
-:0401430000C30003F2
-:0401440000C40003F0
-:0401450000C50003EE
-:0401460000C60003EC
-:0401470000C70003EA
-:0401480000C80003E8
-:0401490000C90004E5
-:04014A0000CA0004E3
-:04014B0000CB0004E1
-:04014C0000CC0004DF
-:04014D0000CD0004DD
-:04014E0000CE0004DB
-:04014F0000CF0004D9
-:0401500000D00004D7
-:0401510000D10004D5
-:0401520000D20004D3
-:0401530000D30004D1
-:0401540000D40004CF
-:0401550000D50004CD
-:0401560000D60004CB
-:0401570000D70004C9
-:0401580000D80004C7
-:0401590000D90004C5
-:04015A0000DA0004C3
-:04015B0000DB0004C1
-:04015C0000DC0004BF
-:04015D0000DD0004BD
-:04015E0000DE0004BB
-:04015F0000DF0004B9
-:0401600000E00004B7
-:0401610000E10004B5
-:0401620000E20004B3
-:0401630000E30004B1
-:0401640000E40004AF
-:0401650000E50004AD
-:0401660000E60004AB
-:0401670000E70004A9
-:0401680000E80004A7
-:0401690000E90004A5
-:04016A0000EA0004A3
-:04016B0000EB0004A1
-:04016C0000EC00049F
-:04016D0000ED00049D
-:04016E0000EE00049B
-:04016F0000EF000499
-:0401700000F0000497
-:0401710000F1000495
-:0401720000F2000493
-:0401730000F3000491
-:0401740000F400048F
-:0401750000F500048D
-:0401760000F600048B
-:0401770000F7000489
-:0401780000F8000487
-:0401790000F9000485
-:04017A0000FA000483
-:04017B0000FB000481
-:04017C0000FC00047F
-:04017D0000FD00047D
-:04017E0000FE00047B
-:04017F0000FF000479
-:0401800000C00003B8
-:0401810000C10003B6
-:0401820000C20003B4
-:0401830000C30003B2
-:0401840000C40003B0
-:0401850000C50003AE
-:0401860000C60003AC
-:0401870000C70003AA
-:0401880000C80003A8
-:0401890000C90004A5
-:04018A0000CA0004A3
-:04018B0000CB0004A1
-:04018C0000CC00049F
-:04018D0000CD00049D
-:04018E0000CE00049B
-:04018F0000CF000499
-:0401900000D0000497
-:0401910000D1000495
-:0401920000D2000493
-:0401930000D3000491
-:0401940000D400048F
-:0401950000D500048D
-:0401960000D600048B
-:0401970000D7000489
-:0401980000D8000487
-:0401990000D9000485
-:04019A0000DA000483
-:04019B0000DB000481
-:04019C0000DC00047F
-:04019D0000DD00047D
-:04019E0000DE00047B
-:04019F0000DF000479
-:0401A00000E0000477
-:0401A10000E1000475
-:0401A20000E2000473
-:0401A30000E3000471
-:0401A40000E400046F
-:0401A50000E500046D
-:0401A60000E600046B
-:0401A70000E7000469
-:0401A80000E8000467
-:0401A90000E9000465
-:0401AA0000EA000463
-:0401AB0000EB000461
-:0401AC0000EC00045F
-:0401AD0000ED00045D
-:0401AE0000EE00045B
-:0401AF0000EF000459
-:0401B00000F0000457
-:0401B10000F1000455
-:0401B20000F2000453
-:0401B30000F3000451
-:0401B40000F400044F
-:0401B50000F500044D
-:0401B60000F600044B
-:0401B70000F7000449
-:0401B80000F8000447
-:0401B90000F9000445
-:0401BA0000FA000443
-:0401BB0000FB000441
-:0401BC0000FC00043F
-:0401BD0000FD00043D
-:0401BE0000FE00043B
-:0401BF0000FF000439
-:0401C00000C0000378
-:0401C10000C1000376
-:0401C20000C2000374
-:0401C30000C3000372
-:0401C40000C4000370
-:0401C50000C500036E
-:0401C60000C600036C
-:0401C70000C700036A
-:0401C80000C8000368
-:0401C90000C9000465
-:0401CA0000CA000463
-:0401CB0000CB000461
-:0401CC0000CC00045F
-:0401CD0000CD00045D
-:0401CE0000CE00045B
-:0401CF0000CF000459
-:0401D00000D0000457
-:0401D10000D1000455
-:0401D20000D2000453
-:0401D30000D3000451
-:0401D40000D400044F
-:0401D50000D500044D
-:0401D60000D600044B
-:0401D70000D7000449
-:0401D80000D8000447
-:0401D90000D9000445
-:0401DA0000DA000443
-:0401DB0000DB000441
-:0401DC0000DC00043F
-:0401DD0000DD00043D
-:0401DE0000DE00043B
-:0401DF0000DF000439
-:0401E00000E0000437
-:0401E10000E1000435
-:0401E20000E2000433
-:0401E30000E3000431
-:0401E40000E400042F
-:0401E50000E500042D
-:0401E60000E600042B
-:0401E70000E7000429
-:0401E80000E8000427
-:0401E90000E9000425
-:0401EA0000EA000423
-:0401EB0000EB000421
-:0401EC0000EC00041F
-:0401ED0000ED00041D
-:0401EE0000EE00041B
-:0401EF0000EF000419
-:0401F00000F0000417
-:0401F10000F1000415
-:0401F20000F2000413
-:0401F30000F3000411
-:0401F40000F400040F
-:0401F50000F500040D
-:0401F60000F600040B
-:0401F70000F7000409
-:0401F80000F8000407
-:0401F90000F9000405
-:0401FA0000FA000403
-:0401FB0000FB000401
-:0401FC0000FC0004FF
-:0401FD0000FD0004FD
-:0401FE0000FE0004FB
-:0401FF0000FF0004F9
-:0402000000C0000337
-:0402010000C1000335
-:0402020000C2000333
-:0402030000C3000331
-:0402040000C400032F
-:0402050000C500032D
-:0402060000C600032B
-:0402070000C7000329
-:0402080000C8000327
-:0402090000C9000424
-:04020A0000CA000422
-:04020B0000CB000420
-:04020C0000CC00041E
-:04020D0000CD00041C
-:04020E0000CE00041A
-:04020F0000CF000418
-:0402100000D0000416
-:0402110000D1000414
-:0402120000D2000412
-:0402130000D3000410
-:0402140000D400040E
-:0402150000D500040C
-:0402160000D600040A
-:0402170000D7000408
-:0402180000D8000406
-:0402190000D9000404
-:04021A0000DA000402
-:04021B0000DB000400
-:04021C0000DC0004FE
-:04021D0000DD0004FC
-:04021E0000DE0004FA
-:04021F0000DF0004F8
-:0402200000E00004F6
-:0402210000E10004F4
-:0402220000E20004F2
-:0402230000E30004F0
-:0402240000E40004EE
-:0402250000E50004EC
-:0402260000E60004EA
-:0402270000E70004E8
-:0402280000E80004E6
-:0402290000E90004E4
-:04022A0000EA0004E2
-:04022B0000EB0004E0
-:04022C0000EC0004DE
-:04022D0000ED0004DC
-:04022E0000EE0004DA
-:04022F0000EF0004D8
-:0402300000F00004D6
-:0402310000F10004D4
-:0402320000F20004D2
-:0402330000F30004D0
-:0402340000F40004CE
-:0402350000F50004CC
-:0402360000F60004CA
-:0402370000F70004C8
-:0402380000F80004C6
-:0402390000F90004C4
-:04023A0000FA0004C2
-:04023B0000FB0004C0
-:04023C0000FC0004BE
-:04023D0000FD0004BC
-:04023E0000FE0004BA
-:04023F0000FF0004B8
-:0402400000C00003F7
-:0402410000C10003F5
-:0402420000C20003F3
-:0402430000C30003F1
-:0402440000C40003EF
-:0402450000C50003ED
-:0402460000C60003EB
-:0402470000C70003E9
-:0402480000C80003E7
-:0402490000C90004E4
-:04024A0000CA0004E2
-:04024B0000CB0004E0
-:04024C0000CC0004DE
-:04024D0000CD0004DC
-:04024E0000CE0004DA
-:04024F0000CF0004D8
-:0402500000D00004D6
-:0402510000D10004D4
-:0402520000D20004D2
-:0402530000D30004D0
-:0402540000D40004CE
-:0402550000D50004CC
-:0402560000D60004CA
-:0402570000D70004C8
-:0402580000D80004C6
-:0402590000D90004C4
-:04025A0000DA0004C2
-:04025B0000DB0004C0
-:04025C0000DC0004BE
-:04025D0000DD0004BC
-:04025E0000DE0004BA
-:04025F0000DF0004B8
-:0402600000E00004B6
-:0402610000E10004B4
-:0402620000E20004B2
-:0402630000E30004B0
-:0402640000E40004AE
-:0402650000E50004AC
-:0402660000E60004AA
-:0402670000E70004A8
-:0402680000E80004A6
-:0402690000E90004A4
-:04026A0000EA0004A2
-:04026B0000EB0004A0
-:04026C0000EC00049E
-:04026D0000ED00049C
-:04026E0000EE00049A
-:04026F0000EF000498
-:0402700000F0000496
-:0402710000F1000494
-:0402720000F2000492
-:0402730000F3000490
-:0402740000F400048E
-:0402750000F500048C
-:0402760000F600048A
-:0402770000F7000488
-:0402780000F8000486
-:0402790000F9000484
-:04027A0000FA000482
-:04027B0000FB000480
-:04027C0000FC00047E
-:04027D0000FD00047C
-:04027E0000FE00047A
-:04027F0000FF000478
-:0402800000C00003B7
-:0402810000C10003B5
-:0402820000C20003B3
-:0402830000C30003B1
-:0402840000C40003AF
-:0402850000C50003AD
-:0402860000C60003AB
-:0402870000C70003A9
-:0402880000C80003A7
-:0402890000C90004A4
-:04028A0000CA0004A2
-:04028B0000CB0004A0
-:04028C0000CC00049E
-:04028D0000CD00049C
-:04028E0000CE00049A
-:04028F0000CF000498
-:0402900000D0000496
-:0402910000D1000494
-:0402920000D2000492
-:0402930000D3000490
-:0402940000D400048E
-:0402950000D500048C
-:0402960000D600048A
-:0402970000D7000488
-:0402980000D8000486
-:0402990000D9000484
-:04029A0000DA000482
-:04029B0000DB000480
-:04029C0000DC00047E
-:04029D0000DD00047C
-:04029E0000DE00047A
-:04029F0000DF000478
-:0402A00000E0000476
-:0402A10000E1000474
-:0402A20000E2000472
-:0402A30000E3000470
-:0402A40000E400046E
-:0402A50000E500046C
-:0402A60000E600046A
-:0402A70000E7000468
-:0402A80000E8000466
-:0402A90000E9000464
-:0402AA0000EA000462
-:0402AB0000EB000460
-:0402AC0000EC00045E
-:0402AD0000ED00045C
-:0402AE0000EE00045A
-:0402AF0000EF000458
-:0402B00000F0000456
-:0402B10000F1000454
-:0402B20000F2000452
-:0402B30000F3000450
-:0402B40000F400044E
-:0402B50000F500044C
-:0402B60000F600044A
-:0402B70000F7000448
-:0402B80000F8000446
-:0402B90000F9000444
-:0402BA0000FA000442
-:0402BB0000FB000440
-:0402BC0000FC00043E
-:0402BD0000FD00043C
-:0402BE0000FE00043A
-:0402BF0000FF000438
-:0402C00000C0000377
-:0402C10000C1000375
-:0402C20000C2000373
-:0402C30000C3000371
-:0402C40000C400036F
-:0402C50000C500036D
-:0402C60000C600036B
-:0402C70000C7000369
-:0402C80000C8000367
-:0402C90000C9000464
-:0402CA0000CA000462
-:0402CB0000CB000460
-:0402CC0000CC00045E
-:0402CD0000CD00045C
-:0402CE0000CE00045A
-:0402CF0000CF000458
-:0402D00000D0000456
-:0402D10000D1000454
-:0402D20000D2000452
-:0402D30000D3000450
-:0402D40000D400044E
-:0402D50000D500044C
-:0402D60000D600044A
-:0402D70000D7000448
-:0402D80000D8000446
-:0402D90000D9000444
-:0402DA0000DA000442
-:0402DB0000DB000440
-:0402DC0000DC00043E
-:0402DD0000DD00043C
-:0402DE0000DE00043A
-:0402DF0000DF000438
-:0402E00000E0000436
-:0402E10000E1000434
-:0402E20000E2000432
-:0402E30000E3000430
-:0402E40000E400042E
-:0402E50000E500042C
-:0402E60000E600042A
-:0402E70000E7000428
-:0402E80000E8000426
-:0402E90000E9000424
-:0402EA0000EA000422
-:0402EB0000EB000420
-:0402EC0000EC00041E
-:0402ED0000ED00041C
-:0402EE0000EE00041A
-:0402EF0000EF000418
-:0402F00000F0000416
-:0402F10000F1000414
-:0402F20000F2000412
-:0402F30000F3000410
-:0402F40000F400040E
-:0402F50000F500040C
-:0402F60000F600040A
-:0402F70000F7000408
-:0402F80000F8000406
-:0402F90000F9000404
-:0402FA0000FA000402
-:0402FB0000FB000400
-:0402FC0000FC0004FE
-:0402FD0000FD0004FC
-:0402FE0000FE0004FA
-:0402FF0000FF0004F8
-:0403000000C0000336
-:0403010000C1000334
-:0403020000C2000332
-:0403030000C3000330
-:0403040000C400032E
-:0403050000C500032C
-:0403060000C600032A
-:0403070000C7000328
-:0403080000C8000326
-:0403090000C9000423
-:04030A0000CA000421
-:04030B0000CB00041F
-:04030C0000CC00041D
-:04030D0000CD00041B
-:04030E0000CE000419
-:04030F0000CF000417
-:0403100000D0000415
-:0403110000D1000413
-:0403120000D2000411
-:0403130000D300040F
-:0403140000D400040D
-:0403150000D500040B
-:0403160000D6000409
-:0403170000D7000407
-:0403180000D8000405
-:0403190000D9000403
-:04031A0000DA000401
-:04031B0000DB0004FF
-:04031C0000DC0004FD
-:04031D0000DD0004FB
-:04031E0000DE0004F9
-:04031F0000DF0004F7
-:0403200000E00004F5
-:0403210000E10004F3
-:0403220000E20004F1
-:0403230000E30004EF
-:0403240000E40004ED
-:0403250000E50004EB
-:0403260000E60004E9
-:0403270000E70004E7
-:0403280000E80004E5
-:0403290000E90004E3
-:04032A0000EA0004E1
-:04032B0000EB0004DF
-:04032C0000EC0004DD
-:04032D0000ED0004DB
-:04032E0000EE0004D9
-:04032F0000EF0004D7
-:0403300000F00004D5
-:0403310000F10004D3
-:0403320000F20004D1
-:0403330000F30004CF
-:0403340000F40004CD
-:0403350000F50004CB
-:0403360000F60004C9
-:0403370000F70004C7
-:0403380000F80004C5
-:0403390000F90004C3
-:04033A0000FA0004C1
-:04033B0000FB0004BF
-:04033C0000FC0004BD
-:04033D0000FD0004BB
-:04033E0000FE0004B9
-:04033F0000FF0004B7
-:0403400000C00003F6
-:0403410000C10003F4
-:0403420000C20003F2
-:0403430000C30003F0
-:0403440000C40003EE
-:0403450000C50003EC
-:0403460000C60003EA
-:0403470000C70003E8
-:0403480000C80003E6
-:0403490000C90004E3
-:04034A0000CA0004E1
-:04034B0000CB0004DF
-:04034C0000CC0004DD
-:04034D0000CD0004DB
-:04034E0000CE0004D9
-:04034F0000CF0004D7
-:0403500000D00004D5
-:0403510000D10004D3
-:0403520000D20004D1
-:0403530000D30004CF
-:0403540000D40004CD
-:0403550000D50004CB
-:0403560000D60004C9
-:0403570000D70004C7
-:0403580000D80004C5
-:0403590000D90004C3
-:04035A0000DA0004C1
-:04035B0000DB0004BF
-:04035C0000DC0004BD
-:04035D0000DD0004BB
-:04035E0000DE0004B9
-:04035F0000DF0004B7
-:0403600000E00004B5
-:0403610000E10004B3
-:0403620000E20004B1
-:0403630000E30004AF
-:0403640000E40004AD
-:0403650000E50004AB
-:0403660000E60004A9
-:0403670000E70004A7
-:0403680000E80004A5
-:0403690000E90004A3
-:04036A0000EA0004A1
-:04036B0000EB00049F
-:04036C0000EC00049D
-:04036D0000ED00049B
-:04036E0000EE000499
-:04036F0000EF000497
-:0403700000F0000495
-:0403710000F1000493
-:0403720000F2000491
-:0403730000F300048F
-:0403740000F400048D
-:0403750000F500048B
-:0403760000F6000489
-:0403770000F7000487
-:0403780000F8000485
-:0403790000F9000483
-:04037A0000FA000481
-:04037B0000FB00047F
-:04037C0000FC00047D
-:04037D0000FD00047B
-:04037E0000FE000479
-:04037F0000FF000477
-:0403800000C00003B6
-:0403810000C10003B4
-:0403820000C20003B2
-:0403830000C30003B0
-:0403840000C40003AE
-:0403850000C50003AC
-:0403860000C60003AA
-:0403870000C70003A8
-:0403880000C80003A6
-:0403890000C90004A3
-:04038A0000CA0004A1
-:04038B0000CB00049F
-:04038C0000CC00049D
-:04038D0000CD00049B
-:04038E0000CE000499
-:04038F0000CF000497
-:0403900000D0000495
-:0403910000D1000493
-:0403920000D2000491
-:0403930000D300048F
-:0403940000D400048D
-:0403950000D500048B
-:0403960000D6000489
-:0403970000D7000487
-:0403980000D8000485
-:0403990000D9000483
-:04039A0000DA000481
-:04039B0000DB00047F
-:04039C0000DC00047D
-:04039D0000DD00047B
-:04039E0000DE000479
-:04039F0000DF000477
-:0403A00000E0000475
-:0403A10000E1000473
-:0403A20000E2000471
-:0403A30000E300046F
-:0403A40000E400046D
-:0403A50000E500046B
-:0403A60000E6000469
-:0403A70000E7000467
-:0403A80000E8000465
-:0403A90000E9000463
-:0403AA0000EA000461
-:0403AB0000EB00045F
-:0403AC0000EC00045D
-:0403AD0000ED00045B
-:0403AE0000EE000459
-:0403AF0000EF000457
-:0403B00000F0000455
-:0403B10000F1000453
-:0403B20000F2000451
-:0403B30000F300044F
-:0403B40000F400044D
-:0403B50000F500044B
-:0403B60000F6000449
-:0403B70000F7000447
-:0403B80000F8000445
-:0403B90000F9000443
-:0403BA0000FA000441
-:0403BB0000FB00043F
-:0403BC0000FC00043D
-:0403BD0000FD00043B
-:0403BE0000FE000439
-:0403BF0000FF000437
-:0403C00000C0000376
-:0403C10000C1000374
-:0403C20000C2000372
-:0403C30000C3000370
-:0403C40000C400036E
-:0403C50000C500036C
-:0403C60000C600036A
-:0403C70000C7000368
-:0403C80000C8000366
-:0403C90000C9000463
-:0403CA0000CA000461
-:0403CB0000CB00045F
-:0403CC0000CC00045D
-:0403CD0000CD00045B
-:0403CE0000CE000459
-:0403CF0000CF000457
-:0403D00000D0000455
-:0403D10000D1000453
-:0403D20000D2000451
-:0403D30000D300044F
-:0403D40000D400044D
-:0403D50000D500044B
-:0403D60000D6000449
-:0403D70000D7000447
-:0403D80000D8000445
-:0403D90000D9000443
-:0403DA0000DA000441
-:0403DB0000DB00043F
-:0403DC0000DC00043D
-:0403DD0000DD00043B
-:0403DE0000DE000439
-:0403DF0000DF000437
-:0403E00000E0000435
-:0403E10000E1000433
-:0403E20000E2000431
-:0403E30000E300042F
-:0403E40000E400042D
-:0403E50000E500042B
-:0403E60000E6000429
-:0403E70000E7000427
-:0403E80000E8000425
-:0403E90000E9000423
-:0403EA0000EA000421
-:0403EB0000EB00041F
-:0403EC0000EC00041D
-:0403ED0000ED00041B
-:0403EE0000EE000419
-:0403EF0000EF000417
-:0403F00000F0000415
-:0403F10000F1000413
-:0403F20000F2000411
-:0403F30000F300040F
-:0403F40000F400040D
-:0403F50000F500040B
-:0403F60000F6000409
-:0403F70000F7000407
-:0403F80000F8000405
-:0403F90000F9000403
-:0403FA0000FA000401
-:0403FB0000FB0004FF
-:0403FC0000FC0004FD
-:0403FD0000FD0004FB
-:0403FE0000FE0004F9
-:0403FF0000FF0004F7
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_1.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_1.hex
index c4e058a2de726c217534b8e2ebfd90ab9047c7fc..7f47348f0a6fc71a45007c4dd8bd68a9931ecd28 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_1.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_1.hex
@@ -62,964 +62,4 @@
 :04003D0000FD0009B9
 :04003E0000FE0009B7
 :04003F0000FF0009B5
-:0400400000C00007F5
-:0400410000C10007F3
-:0400420000C20007F1
-:0400430000C30007EF
-:0400440000C40007ED
-:0400450000C50007EB
-:0400460000C60007E9
-:0400470000C70007E7
-:0400480000C80007E5
-:0400490000C90007E3
-:04004A0000CA0007E1
-:04004B0000CB0007DF
-:04004C0000CC0007DD
-:04004D0000CD0007DB
-:04004E0000CE0007D9
-:04004F0000CF0007D7
-:0400500000D00007D5
-:0400510000D10007D3
-:0400520000D20007D1
-:0400530000D30007CF
-:0400540000D40007CD
-:0400550000D50007CB
-:0400560000D60007C9
-:0400570000D70008C6
-:0400580000D80008C4
-:0400590000D90008C2
-:04005A0000DA0008C0
-:04005B0000DB0008BE
-:04005C0000DC0008BC
-:04005D0000DD0008BA
-:04005E0000DE0008B8
-:04005F0000DF0008B6
-:0400600000E00008B4
-:0400610000E10008B2
-:0400620000E20008B0
-:0400630000E30008AE
-:0400640000E40008AC
-:0400650000E50008AA
-:0400660000E60008A8
-:0400670000E70008A6
-:0400680000E80008A4
-:0400690000E90008A2
-:04006A0000EA0008A0
-:04006B0000EB00089E
-:04006C0000EC00089C
-:04006D0000ED00089A
-:04006E0000EE000898
-:04006F0000EF000896
-:0400700000F0000894
-:0400710000F1000892
-:0400720000F2000890
-:0400730000F300088E
-:0400740000F400098B
-:0400750000F5000989
-:0400760000F6000987
-:0400770000F7000985
-:0400780000F8000983
-:0400790000F9000981
-:04007A0000FA00097F
-:04007B0000FB00097D
-:04007C0000FC00097B
-:04007D0000FD000979
-:04007E0000FE000977
-:04007F0000FF000975
-:0400800000C00007B5
-:0400810000C10007B3
-:0400820000C20007B1
-:0400830000C30007AF
-:0400840000C40007AD
-:0400850000C50007AB
-:0400860000C60007A9
-:0400870000C70007A7
-:0400880000C80007A5
-:0400890000C90007A3
-:04008A0000CA0007A1
-:04008B0000CB00079F
-:04008C0000CC00079D
-:04008D0000CD00079B
-:04008E0000CE000799
-:04008F0000CF000797
-:0400900000D0000795
-:0400910000D1000793
-:0400920000D2000791
-:0400930000D300078F
-:0400940000D400078D
-:0400950000D500078B
-:0400960000D6000789
-:0400970000D7000886
-:0400980000D8000884
-:0400990000D9000882
-:04009A0000DA000880
-:04009B0000DB00087E
-:04009C0000DC00087C
-:04009D0000DD00087A
-:04009E0000DE000878
-:04009F0000DF000876
-:0400A00000E0000874
-:0400A10000E1000872
-:0400A20000E2000870
-:0400A30000E300086E
-:0400A40000E400086C
-:0400A50000E500086A
-:0400A60000E6000868
-:0400A70000E7000866
-:0400A80000E8000864
-:0400A90000E9000862
-:0400AA0000EA000860
-:0400AB0000EB00085E
-:0400AC0000EC00085C
-:0400AD0000ED00085A
-:0400AE0000EE000858
-:0400AF0000EF000856
-:0400B00000F0000854
-:0400B10000F1000852
-:0400B20000F2000850
-:0400B30000F300084E
-:0400B40000F400094B
-:0400B50000F5000949
-:0400B60000F6000947
-:0400B70000F7000945
-:0400B80000F8000943
-:0400B90000F9000941
-:0400BA0000FA00093F
-:0400BB0000FB00093D
-:0400BC0000FC00093B
-:0400BD0000FD000939
-:0400BE0000FE000937
-:0400BF0000FF000935
-:0400C00000C0000775
-:0400C10000C1000773
-:0400C20000C2000771
-:0400C30000C300076F
-:0400C40000C400076D
-:0400C50000C500076B
-:0400C60000C6000769
-:0400C70000C7000767
-:0400C80000C8000765
-:0400C90000C9000763
-:0400CA0000CA000761
-:0400CB0000CB00075F
-:0400CC0000CC00075D
-:0400CD0000CD00075B
-:0400CE0000CE000759
-:0400CF0000CF000757
-:0400D00000D0000755
-:0400D10000D1000753
-:0400D20000D2000751
-:0400D30000D300074F
-:0400D40000D400074D
-:0400D50000D500074B
-:0400D60000D6000749
-:0400D70000D7000846
-:0400D80000D8000844
-:0400D90000D9000842
-:0400DA0000DA000840
-:0400DB0000DB00083E
-:0400DC0000DC00083C
-:0400DD0000DD00083A
-:0400DE0000DE000838
-:0400DF0000DF000836
-:0400E00000E0000834
-:0400E10000E1000832
-:0400E20000E2000830
-:0400E30000E300082E
-:0400E40000E400082C
-:0400E50000E500082A
-:0400E60000E6000828
-:0400E70000E7000826
-:0400E80000E8000824
-:0400E90000E9000822
-:0400EA0000EA000820
-:0400EB0000EB00081E
-:0400EC0000EC00081C
-:0400ED0000ED00081A
-:0400EE0000EE000818
-:0400EF0000EF000816
-:0400F00000F0000814
-:0400F10000F1000812
-:0400F20000F2000810
-:0400F30000F300080E
-:0400F40000F400090B
-:0400F50000F5000909
-:0400F60000F6000907
-:0400F70000F7000905
-:0400F80000F8000903
-:0400F90000F9000901
-:0400FA0000FA0009FF
-:0400FB0000FB0009FD
-:0400FC0000FC0009FB
-:0400FD0000FD0009F9
-:0400FE0000FE0009F7
-:0400FF0000FF0009F5
-:0401000000C0000734
-:0401010000C1000732
-:0401020000C2000730
-:0401030000C300072E
-:0401040000C400072C
-:0401050000C500072A
-:0401060000C6000728
-:0401070000C7000726
-:0401080000C8000724
-:0401090000C9000722
-:04010A0000CA000720
-:04010B0000CB00071E
-:04010C0000CC00071C
-:04010D0000CD00071A
-:04010E0000CE000718
-:04010F0000CF000716
-:0401100000D0000714
-:0401110000D1000712
-:0401120000D2000710
-:0401130000D300070E
-:0401140000D400070C
-:0401150000D500070A
-:0401160000D6000708
-:0401170000D7000805
-:0401180000D8000803
-:0401190000D9000801
-:04011A0000DA0008FF
-:04011B0000DB0008FD
-:04011C0000DC0008FB
-:04011D0000DD0008F9
-:04011E0000DE0008F7
-:04011F0000DF0008F5
-:0401200000E00008F3
-:0401210000E10008F1
-:0401220000E20008EF
-:0401230000E30008ED
-:0401240000E40008EB
-:0401250000E50008E9
-:0401260000E60008E7
-:0401270000E70008E5
-:0401280000E80008E3
-:0401290000E90008E1
-:04012A0000EA0008DF
-:04012B0000EB0008DD
-:04012C0000EC0008DB
-:04012D0000ED0008D9
-:04012E0000EE0008D7
-:04012F0000EF0008D5
-:0401300000F00008D3
-:0401310000F10008D1
-:0401320000F20008CF
-:0401330000F30008CD
-:0401340000F40009CA
-:0401350000F50009C8
-:0401360000F60009C6
-:0401370000F70009C4
-:0401380000F80009C2
-:0401390000F90009C0
-:04013A0000FA0009BE
-:04013B0000FB0009BC
-:04013C0000FC0009BA
-:04013D0000FD0009B8
-:04013E0000FE0009B6
-:04013F0000FF0009B4
-:0401400000C00007F4
-:0401410000C10007F2
-:0401420000C20007F0
-:0401430000C30007EE
-:0401440000C40007EC
-:0401450000C50007EA
-:0401460000C60007E8
-:0401470000C70007E6
-:0401480000C80007E4
-:0401490000C90007E2
-:04014A0000CA0007E0
-:04014B0000CB0007DE
-:04014C0000CC0007DC
-:04014D0000CD0007DA
-:04014E0000CE0007D8
-:04014F0000CF0007D6
-:0401500000D00007D4
-:0401510000D10007D2
-:0401520000D20007D0
-:0401530000D30007CE
-:0401540000D40007CC
-:0401550000D50007CA
-:0401560000D60007C8
-:0401570000D70008C5
-:0401580000D80008C3
-:0401590000D90008C1
-:04015A0000DA0008BF
-:04015B0000DB0008BD
-:04015C0000DC0008BB
-:04015D0000DD0008B9
-:04015E0000DE0008B7
-:04015F0000DF0008B5
-:0401600000E00008B3
-:0401610000E10008B1
-:0401620000E20008AF
-:0401630000E30008AD
-:0401640000E40008AB
-:0401650000E50008A9
-:0401660000E60008A7
-:0401670000E70008A5
-:0401680000E80008A3
-:0401690000E90008A1
-:04016A0000EA00089F
-:04016B0000EB00089D
-:04016C0000EC00089B
-:04016D0000ED000899
-:04016E0000EE000897
-:04016F0000EF000895
-:0401700000F0000893
-:0401710000F1000891
-:0401720000F200088F
-:0401730000F300088D
-:0401740000F400098A
-:0401750000F5000988
-:0401760000F6000986
-:0401770000F7000984
-:0401780000F8000982
-:0401790000F9000980
-:04017A0000FA00097E
-:04017B0000FB00097C
-:04017C0000FC00097A
-:04017D0000FD000978
-:04017E0000FE000976
-:04017F0000FF000974
-:0401800000C00007B4
-:0401810000C10007B2
-:0401820000C20007B0
-:0401830000C30007AE
-:0401840000C40007AC
-:0401850000C50007AA
-:0401860000C60007A8
-:0401870000C70007A6
-:0401880000C80007A4
-:0401890000C90007A2
-:04018A0000CA0007A0
-:04018B0000CB00079E
-:04018C0000CC00079C
-:04018D0000CD00079A
-:04018E0000CE000798
-:04018F0000CF000796
-:0401900000D0000794
-:0401910000D1000792
-:0401920000D2000790
-:0401930000D300078E
-:0401940000D400078C
-:0401950000D500078A
-:0401960000D6000788
-:0401970000D7000885
-:0401980000D8000883
-:0401990000D9000881
-:04019A0000DA00087F
-:04019B0000DB00087D
-:04019C0000DC00087B
-:04019D0000DD000879
-:04019E0000DE000877
-:04019F0000DF000875
-:0401A00000E0000873
-:0401A10000E1000871
-:0401A20000E200086F
-:0401A30000E300086D
-:0401A40000E400086B
-:0401A50000E5000869
-:0401A60000E6000867
-:0401A70000E7000865
-:0401A80000E8000863
-:0401A90000E9000861
-:0401AA0000EA00085F
-:0401AB0000EB00085D
-:0401AC0000EC00085B
-:0401AD0000ED000859
-:0401AE0000EE000857
-:0401AF0000EF000855
-:0401B00000F0000853
-:0401B10000F1000851
-:0401B20000F200084F
-:0401B30000F300084D
-:0401B40000F400094A
-:0401B50000F5000948
-:0401B60000F6000946
-:0401B70000F7000944
-:0401B80000F8000942
-:0401B90000F9000940
-:0401BA0000FA00093E
-:0401BB0000FB00093C
-:0401BC0000FC00093A
-:0401BD0000FD000938
-:0401BE0000FE000936
-:0401BF0000FF000934
-:0401C00000C0000774
-:0401C10000C1000772
-:0401C20000C2000770
-:0401C30000C300076E
-:0401C40000C400076C
-:0401C50000C500076A
-:0401C60000C6000768
-:0401C70000C7000766
-:0401C80000C8000764
-:0401C90000C9000762
-:0401CA0000CA000760
-:0401CB0000CB00075E
-:0401CC0000CC00075C
-:0401CD0000CD00075A
-:0401CE0000CE000758
-:0401CF0000CF000756
-:0401D00000D0000754
-:0401D10000D1000752
-:0401D20000D2000750
-:0401D30000D300074E
-:0401D40000D400074C
-:0401D50000D500074A
-:0401D60000D6000748
-:0401D70000D7000845
-:0401D80000D8000843
-:0401D90000D9000841
-:0401DA0000DA00083F
-:0401DB0000DB00083D
-:0401DC0000DC00083B
-:0401DD0000DD000839
-:0401DE0000DE000837
-:0401DF0000DF000835
-:0401E00000E0000833
-:0401E10000E1000831
-:0401E20000E200082F
-:0401E30000E300082D
-:0401E40000E400082B
-:0401E50000E5000829
-:0401E60000E6000827
-:0401E70000E7000825
-:0401E80000E8000823
-:0401E90000E9000821
-:0401EA0000EA00081F
-:0401EB0000EB00081D
-:0401EC0000EC00081B
-:0401ED0000ED000819
-:0401EE0000EE000817
-:0401EF0000EF000815
-:0401F00000F0000813
-:0401F10000F1000811
-:0401F20000F200080F
-:0401F30000F300080D
-:0401F40000F400090A
-:0401F50000F5000908
-:0401F60000F6000906
-:0401F70000F7000904
-:0401F80000F8000902
-:0401F90000F9000900
-:0401FA0000FA0009FE
-:0401FB0000FB0009FC
-:0401FC0000FC0009FA
-:0401FD0000FD0009F8
-:0401FE0000FE0009F6
-:0401FF0000FF0009F4
-:0402000000C0000733
-:0402010000C1000731
-:0402020000C200072F
-:0402030000C300072D
-:0402040000C400072B
-:0402050000C5000729
-:0402060000C6000727
-:0402070000C7000725
-:0402080000C8000723
-:0402090000C9000721
-:04020A0000CA00071F
-:04020B0000CB00071D
-:04020C0000CC00071B
-:04020D0000CD000719
-:04020E0000CE000717
-:04020F0000CF000715
-:0402100000D0000713
-:0402110000D1000711
-:0402120000D200070F
-:0402130000D300070D
-:0402140000D400070B
-:0402150000D5000709
-:0402160000D6000707
-:0402170000D7000804
-:0402180000D8000802
-:0402190000D9000800
-:04021A0000DA0008FE
-:04021B0000DB0008FC
-:04021C0000DC0008FA
-:04021D0000DD0008F8
-:04021E0000DE0008F6
-:04021F0000DF0008F4
-:0402200000E00008F2
-:0402210000E10008F0
-:0402220000E20008EE
-:0402230000E30008EC
-:0402240000E40008EA
-:0402250000E50008E8
-:0402260000E60008E6
-:0402270000E70008E4
-:0402280000E80008E2
-:0402290000E90008E0
-:04022A0000EA0008DE
-:04022B0000EB0008DC
-:04022C0000EC0008DA
-:04022D0000ED0008D8
-:04022E0000EE0008D6
-:04022F0000EF0008D4
-:0402300000F00008D2
-:0402310000F10008D0
-:0402320000F20008CE
-:0402330000F30008CC
-:0402340000F40009C9
-:0402350000F50009C7
-:0402360000F60009C5
-:0402370000F70009C3
-:0402380000F80009C1
-:0402390000F90009BF
-:04023A0000FA0009BD
-:04023B0000FB0009BB
-:04023C0000FC0009B9
-:04023D0000FD0009B7
-:04023E0000FE0009B5
-:04023F0000FF0009B3
-:0402400000C00007F3
-:0402410000C10007F1
-:0402420000C20007EF
-:0402430000C30007ED
-:0402440000C40007EB
-:0402450000C50007E9
-:0402460000C60007E7
-:0402470000C70007E5
-:0402480000C80007E3
-:0402490000C90007E1
-:04024A0000CA0007DF
-:04024B0000CB0007DD
-:04024C0000CC0007DB
-:04024D0000CD0007D9
-:04024E0000CE0007D7
-:04024F0000CF0007D5
-:0402500000D00007D3
-:0402510000D10007D1
-:0402520000D20007CF
-:0402530000D30007CD
-:0402540000D40007CB
-:0402550000D50007C9
-:0402560000D60007C7
-:0402570000D70008C4
-:0402580000D80008C2
-:0402590000D90008C0
-:04025A0000DA0008BE
-:04025B0000DB0008BC
-:04025C0000DC0008BA
-:04025D0000DD0008B8
-:04025E0000DE0008B6
-:04025F0000DF0008B4
-:0402600000E00008B2
-:0402610000E10008B0
-:0402620000E20008AE
-:0402630000E30008AC
-:0402640000E40008AA
-:0402650000E50008A8
-:0402660000E60008A6
-:0402670000E70008A4
-:0402680000E80008A2
-:0402690000E90008A0
-:04026A0000EA00089E
-:04026B0000EB00089C
-:04026C0000EC00089A
-:04026D0000ED000898
-:04026E0000EE000896
-:04026F0000EF000894
-:0402700000F0000892
-:0402710000F1000890
-:0402720000F200088E
-:0402730000F300088C
-:0402740000F4000989
-:0402750000F5000987
-:0402760000F6000985
-:0402770000F7000983
-:0402780000F8000981
-:0402790000F900097F
-:04027A0000FA00097D
-:04027B0000FB00097B
-:04027C0000FC000979
-:04027D0000FD000977
-:04027E0000FE000975
-:04027F0000FF000973
-:0402800000C00007B3
-:0402810000C10007B1
-:0402820000C20007AF
-:0402830000C30007AD
-:0402840000C40007AB
-:0402850000C50007A9
-:0402860000C60007A7
-:0402870000C70007A5
-:0402880000C80007A3
-:0402890000C90007A1
-:04028A0000CA00079F
-:04028B0000CB00079D
-:04028C0000CC00079B
-:04028D0000CD000799
-:04028E0000CE000797
-:04028F0000CF000795
-:0402900000D0000793
-:0402910000D1000791
-:0402920000D200078F
-:0402930000D300078D
-:0402940000D400078B
-:0402950000D5000789
-:0402960000D6000787
-:0402970000D7000884
-:0402980000D8000882
-:0402990000D9000880
-:04029A0000DA00087E
-:04029B0000DB00087C
-:04029C0000DC00087A
-:04029D0000DD000878
-:04029E0000DE000876
-:04029F0000DF000874
-:0402A00000E0000872
-:0402A10000E1000870
-:0402A20000E200086E
-:0402A30000E300086C
-:0402A40000E400086A
-:0402A50000E5000868
-:0402A60000E6000866
-:0402A70000E7000864
-:0402A80000E8000862
-:0402A90000E9000860
-:0402AA0000EA00085E
-:0402AB0000EB00085C
-:0402AC0000EC00085A
-:0402AD0000ED000858
-:0402AE0000EE000856
-:0402AF0000EF000854
-:0402B00000F0000852
-:0402B10000F1000850
-:0402B20000F200084E
-:0402B30000F300084C
-:0402B40000F4000949
-:0402B50000F5000947
-:0402B60000F6000945
-:0402B70000F7000943
-:0402B80000F8000941
-:0402B90000F900093F
-:0402BA0000FA00093D
-:0402BB0000FB00093B
-:0402BC0000FC000939
-:0402BD0000FD000937
-:0402BE0000FE000935
-:0402BF0000FF000933
-:0402C00000C0000773
-:0402C10000C1000771
-:0402C20000C200076F
-:0402C30000C300076D
-:0402C40000C400076B
-:0402C50000C5000769
-:0402C60000C6000767
-:0402C70000C7000765
-:0402C80000C8000763
-:0402C90000C9000761
-:0402CA0000CA00075F
-:0402CB0000CB00075D
-:0402CC0000CC00075B
-:0402CD0000CD000759
-:0402CE0000CE000757
-:0402CF0000CF000755
-:0402D00000D0000753
-:0402D10000D1000751
-:0402D20000D200074F
-:0402D30000D300074D
-:0402D40000D400074B
-:0402D50000D5000749
-:0402D60000D6000747
-:0402D70000D7000844
-:0402D80000D8000842
-:0402D90000D9000840
-:0402DA0000DA00083E
-:0402DB0000DB00083C
-:0402DC0000DC00083A
-:0402DD0000DD000838
-:0402DE0000DE000836
-:0402DF0000DF000834
-:0402E00000E0000832
-:0402E10000E1000830
-:0402E20000E200082E
-:0402E30000E300082C
-:0402E40000E400082A
-:0402E50000E5000828
-:0402E60000E6000826
-:0402E70000E7000824
-:0402E80000E8000822
-:0402E90000E9000820
-:0402EA0000EA00081E
-:0402EB0000EB00081C
-:0402EC0000EC00081A
-:0402ED0000ED000818
-:0402EE0000EE000816
-:0402EF0000EF000814
-:0402F00000F0000812
-:0402F10000F1000810
-:0402F20000F200080E
-:0402F30000F300080C
-:0402F40000F4000909
-:0402F50000F5000907
-:0402F60000F6000905
-:0402F70000F7000903
-:0402F80000F8000901
-:0402F90000F90009FF
-:0402FA0000FA0009FD
-:0402FB0000FB0009FB
-:0402FC0000FC0009F9
-:0402FD0000FD0009F7
-:0402FE0000FE0009F5
-:0402FF0000FF0009F3
-:0403000000C0000732
-:0403010000C1000730
-:0403020000C200072E
-:0403030000C300072C
-:0403040000C400072A
-:0403050000C5000728
-:0403060000C6000726
-:0403070000C7000724
-:0403080000C8000722
-:0403090000C9000720
-:04030A0000CA00071E
-:04030B0000CB00071C
-:04030C0000CC00071A
-:04030D0000CD000718
-:04030E0000CE000716
-:04030F0000CF000714
-:0403100000D0000712
-:0403110000D1000710
-:0403120000D200070E
-:0403130000D300070C
-:0403140000D400070A
-:0403150000D5000708
-:0403160000D6000706
-:0403170000D7000803
-:0403180000D8000801
-:0403190000D90008FF
-:04031A0000DA0008FD
-:04031B0000DB0008FB
-:04031C0000DC0008F9
-:04031D0000DD0008F7
-:04031E0000DE0008F5
-:04031F0000DF0008F3
-:0403200000E00008F1
-:0403210000E10008EF
-:0403220000E20008ED
-:0403230000E30008EB
-:0403240000E40008E9
-:0403250000E50008E7
-:0403260000E60008E5
-:0403270000E70008E3
-:0403280000E80008E1
-:0403290000E90008DF
-:04032A0000EA0008DD
-:04032B0000EB0008DB
-:04032C0000EC0008D9
-:04032D0000ED0008D7
-:04032E0000EE0008D5
-:04032F0000EF0008D3
-:0403300000F00008D1
-:0403310000F10008CF
-:0403320000F20008CD
-:0403330000F30008CB
-:0403340000F40009C8
-:0403350000F50009C6
-:0403360000F60009C4
-:0403370000F70009C2
-:0403380000F80009C0
-:0403390000F90009BE
-:04033A0000FA0009BC
-:04033B0000FB0009BA
-:04033C0000FC0009B8
-:04033D0000FD0009B6
-:04033E0000FE0009B4
-:04033F0000FF0009B2
-:0403400000C00007F2
-:0403410000C10007F0
-:0403420000C20007EE
-:0403430000C30007EC
-:0403440000C40007EA
-:0403450000C50007E8
-:0403460000C60007E6
-:0403470000C70007E4
-:0403480000C80007E2
-:0403490000C90007E0
-:04034A0000CA0007DE
-:04034B0000CB0007DC
-:04034C0000CC0007DA
-:04034D0000CD0007D8
-:04034E0000CE0007D6
-:04034F0000CF0007D4
-:0403500000D00007D2
-:0403510000D10007D0
-:0403520000D20007CE
-:0403530000D30007CC
-:0403540000D40007CA
-:0403550000D50007C8
-:0403560000D60007C6
-:0403570000D70008C3
-:0403580000D80008C1
-:0403590000D90008BF
-:04035A0000DA0008BD
-:04035B0000DB0008BB
-:04035C0000DC0008B9
-:04035D0000DD0008B7
-:04035E0000DE0008B5
-:04035F0000DF0008B3
-:0403600000E00008B1
-:0403610000E10008AF
-:0403620000E20008AD
-:0403630000E30008AB
-:0403640000E40008A9
-:0403650000E50008A7
-:0403660000E60008A5
-:0403670000E70008A3
-:0403680000E80008A1
-:0403690000E900089F
-:04036A0000EA00089D
-:04036B0000EB00089B
-:04036C0000EC000899
-:04036D0000ED000897
-:04036E0000EE000895
-:04036F0000EF000893
-:0403700000F0000891
-:0403710000F100088F
-:0403720000F200088D
-:0403730000F300088B
-:0403740000F4000988
-:0403750000F5000986
-:0403760000F6000984
-:0403770000F7000982
-:0403780000F8000980
-:0403790000F900097E
-:04037A0000FA00097C
-:04037B0000FB00097A
-:04037C0000FC000978
-:04037D0000FD000976
-:04037E0000FE000974
-:04037F0000FF000972
-:0403800000C00007B2
-:0403810000C10007B0
-:0403820000C20007AE
-:0403830000C30007AC
-:0403840000C40007AA
-:0403850000C50007A8
-:0403860000C60007A6
-:0403870000C70007A4
-:0403880000C80007A2
-:0403890000C90007A0
-:04038A0000CA00079E
-:04038B0000CB00079C
-:04038C0000CC00079A
-:04038D0000CD000798
-:04038E0000CE000796
-:04038F0000CF000794
-:0403900000D0000792
-:0403910000D1000790
-:0403920000D200078E
-:0403930000D300078C
-:0403940000D400078A
-:0403950000D5000788
-:0403960000D6000786
-:0403970000D7000883
-:0403980000D8000881
-:0403990000D900087F
-:04039A0000DA00087D
-:04039B0000DB00087B
-:04039C0000DC000879
-:04039D0000DD000877
-:04039E0000DE000875
-:04039F0000DF000873
-:0403A00000E0000871
-:0403A10000E100086F
-:0403A20000E200086D
-:0403A30000E300086B
-:0403A40000E4000869
-:0403A50000E5000867
-:0403A60000E6000865
-:0403A70000E7000863
-:0403A80000E8000861
-:0403A90000E900085F
-:0403AA0000EA00085D
-:0403AB0000EB00085B
-:0403AC0000EC000859
-:0403AD0000ED000857
-:0403AE0000EE000855
-:0403AF0000EF000853
-:0403B00000F0000851
-:0403B10000F100084F
-:0403B20000F200084D
-:0403B30000F300084B
-:0403B40000F4000948
-:0403B50000F5000946
-:0403B60000F6000944
-:0403B70000F7000942
-:0403B80000F8000940
-:0403B90000F900093E
-:0403BA0000FA00093C
-:0403BB0000FB00093A
-:0403BC0000FC000938
-:0403BD0000FD000936
-:0403BE0000FE000934
-:0403BF0000FF000932
-:0403C00000C0000772
-:0403C10000C1000770
-:0403C20000C200076E
-:0403C30000C300076C
-:0403C40000C400076A
-:0403C50000C5000768
-:0403C60000C6000766
-:0403C70000C7000764
-:0403C80000C8000762
-:0403C90000C9000760
-:0403CA0000CA00075E
-:0403CB0000CB00075C
-:0403CC0000CC00075A
-:0403CD0000CD000758
-:0403CE0000CE000756
-:0403CF0000CF000754
-:0403D00000D0000752
-:0403D10000D1000750
-:0403D20000D200074E
-:0403D30000D300074C
-:0403D40000D400074A
-:0403D50000D5000748
-:0403D60000D6000746
-:0403D70000D7000843
-:0403D80000D8000841
-:0403D90000D900083F
-:0403DA0000DA00083D
-:0403DB0000DB00083B
-:0403DC0000DC000839
-:0403DD0000DD000837
-:0403DE0000DE000835
-:0403DF0000DF000833
-:0403E00000E0000831
-:0403E10000E100082F
-:0403E20000E200082D
-:0403E30000E300082B
-:0403E40000E4000829
-:0403E50000E5000827
-:0403E60000E6000825
-:0403E70000E7000823
-:0403E80000E8000821
-:0403E90000E900081F
-:0403EA0000EA00081D
-:0403EB0000EB00081B
-:0403EC0000EC000819
-:0403ED0000ED000817
-:0403EE0000EE000815
-:0403EF0000EF000813
-:0403F00000F0000811
-:0403F10000F100080F
-:0403F20000F200080D
-:0403F30000F300080B
-:0403F40000F4000908
-:0403F50000F5000906
-:0403F60000F6000904
-:0403F70000F7000902
-:0403F80000F8000900
-:0403F90000F90009FE
-:0403FA0000FA0009FC
-:0403FB0000FB0009FA
-:0403FC0000FC0009F8
-:0403FD0000FD0009F6
-:0403FE0000FE0009F4
-:0403FF0000FF0009F2
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_10.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_10.hex
index be3331effa344f99057df58d879b5090c7da1fe1..5a6ce69720c53359621b72da406bf9746d3e5329 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_10.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_10.hex
@@ -62,964 +62,4 @@
 :04003D0000F8003097
 :04003E0000F9003095
 :04003F0000FA003192
-:0400400000BC0025DB
-:0400410000BD0025D9
-:0400420000BE0025D7
-:0400430000BF0025D5
-:0400440000C00025D3
-:0400450000C10026D0
-:0400460000C20026CE
-:0400470000C30026CC
-:0400480000C40026CA
-:0400490000C50026C8
-:04004A0000C60027C5
-:04004B0000C70027C3
-:04004C0000C80027C1
-:04004D0000C90027BF
-:04004E0000CA0027BD
-:04004F0000CB0027BB
-:0400500000CC0028B8
-:0400510000CD0028B6
-:0400520000CE0028B4
-:0400530000CF0028B2
-:0400540000D00028B0
-:0400550000D10029AD
-:0400560000D20029AB
-:0400570000D30029A9
-:0400580000D40029A7
-:0400590000D50029A5
-:04005A0000D6002AA2
-:04005B0000D7002AA0
-:04005C0000D8002A9E
-:04005D0000D9002A9C
-:04005E0000DA002A9A
-:04005F0000DB002B97
-:0400600000DC002B95
-:0400610000DD002B93
-:0400620000DE002B91
-:0400630000DF002B8F
-:0400640000E0002C8C
-:0400650000E1002C8A
-:0400660000E2002C88
-:0400670000E3002C86
-:0400680000E4002C84
-:0400690000E5002C82
-:04006A0000E6002D7F
-:04006B0000E7002D7D
-:04006C0000E8002D7B
-:04006D0000E9002D79
-:04006E0000EA002D77
-:04006F0000EB002E74
-:0400700000EC002E72
-:0400710000ED002E70
-:0400720000EE002E6E
-:0400730000EF002E6C
-:0400740000F0002F69
-:0400750000F0002F68
-:0400760000F1002F66
-:0400770000F2002F64
-:0400780000F3002F62
-:0400790000F400305F
-:04007A0000F500305D
-:04007B0000F600305B
-:04007C0000F7003059
-:04007D0000F8003057
-:04007E0000F9003055
-:04007F0000FA003152
-:0400800000BC00259B
-:0400810000BD002599
-:0400820000BE002597
-:0400830000BF002595
-:0400840000C0002593
-:0400850000C1002690
-:0400860000C200268E
-:0400870000C300268C
-:0400880000C400268A
-:0400890000C5002688
-:04008A0000C6002785
-:04008B0000C7002783
-:04008C0000C8002781
-:04008D0000C900277F
-:04008E0000CA00277D
-:04008F0000CB00277B
-:0400900000CC002878
-:0400910000CD002876
-:0400920000CE002874
-:0400930000CF002872
-:0400940000D0002870
-:0400950000D100296D
-:0400960000D200296B
-:0400970000D3002969
-:0400980000D4002967
-:0400990000D5002965
-:04009A0000D6002A62
-:04009B0000D7002A60
-:04009C0000D8002A5E
-:04009D0000D9002A5C
-:04009E0000DA002A5A
-:04009F0000DB002B57
-:0400A00000DC002B55
-:0400A10000DD002B53
-:0400A20000DE002B51
-:0400A30000DF002B4F
-:0400A40000E0002C4C
-:0400A50000E1002C4A
-:0400A60000E2002C48
-:0400A70000E3002C46
-:0400A80000E4002C44
-:0400A90000E5002C42
-:0400AA0000E6002D3F
-:0400AB0000E7002D3D
-:0400AC0000E8002D3B
-:0400AD0000E9002D39
-:0400AE0000EA002D37
-:0400AF0000EB002E34
-:0400B00000EC002E32
-:0400B10000ED002E30
-:0400B20000EE002E2E
-:0400B30000EF002E2C
-:0400B40000F0002F29
-:0400B50000F0002F28
-:0400B60000F1002F26
-:0400B70000F2002F24
-:0400B80000F3002F22
-:0400B90000F400301F
-:0400BA0000F500301D
-:0400BB0000F600301B
-:0400BC0000F7003019
-:0400BD0000F8003017
-:0400BE0000F9003015
-:0400BF0000FA003112
-:0400C00000BC00255B
-:0400C10000BD002559
-:0400C20000BE002557
-:0400C30000BF002555
-:0400C40000C0002553
-:0400C50000C1002650
-:0400C60000C200264E
-:0400C70000C300264C
-:0400C80000C400264A
-:0400C90000C5002648
-:0400CA0000C6002745
-:0400CB0000C7002743
-:0400CC0000C8002741
-:0400CD0000C900273F
-:0400CE0000CA00273D
-:0400CF0000CB00273B
-:0400D00000CC002838
-:0400D10000CD002836
-:0400D20000CE002834
-:0400D30000CF002832
-:0400D40000D0002830
-:0400D50000D100292D
-:0400D60000D200292B
-:0400D70000D3002929
-:0400D80000D4002927
-:0400D90000D5002925
-:0400DA0000D6002A22
-:0400DB0000D7002A20
-:0400DC0000D8002A1E
-:0400DD0000D9002A1C
-:0400DE0000DA002A1A
-:0400DF0000DB002B17
-:0400E00000DC002B15
-:0400E10000DD002B13
-:0400E20000DE002B11
-:0400E30000DF002B0F
-:0400E40000E0002C0C
-:0400E50000E1002C0A
-:0400E60000E2002C08
-:0400E70000E3002C06
-:0400E80000E4002C04
-:0400E90000E5002C02
-:0400EA0000E6002DFF
-:0400EB0000E7002DFD
-:0400EC0000E8002DFB
-:0400ED0000E9002DF9
-:0400EE0000EA002DF7
-:0400EF0000EB002EF4
-:0400F00000EC002EF2
-:0400F10000ED002EF0
-:0400F20000EE002EEE
-:0400F30000EF002EEC
-:0400F40000F0002FE9
-:0400F50000F0002FE8
-:0400F60000F1002FE6
-:0400F70000F2002FE4
-:0400F80000F3002FE2
-:0400F90000F40030DF
-:0400FA0000F50030DD
-:0400FB0000F60030DB
-:0400FC0000F70030D9
-:0400FD0000F80030D7
-:0400FE0000F90030D5
-:0400FF0000FA0031D2
-:0401000000BC00251A
-:0401010000BD002518
-:0401020000BE002516
-:0401030000BF002514
-:0401040000C0002512
-:0401050000C100260F
-:0401060000C200260D
-:0401070000C300260B
-:0401080000C4002609
-:0401090000C5002607
-:04010A0000C6002704
-:04010B0000C7002702
-:04010C0000C8002700
-:04010D0000C90027FE
-:04010E0000CA0027FC
-:04010F0000CB0027FA
-:0401100000CC0028F7
-:0401110000CD0028F5
-:0401120000CE0028F3
-:0401130000CF0028F1
-:0401140000D00028EF
-:0401150000D10029EC
-:0401160000D20029EA
-:0401170000D30029E8
-:0401180000D40029E6
-:0401190000D50029E4
-:04011A0000D6002AE1
-:04011B0000D7002ADF
-:04011C0000D8002ADD
-:04011D0000D9002ADB
-:04011E0000DA002AD9
-:04011F0000DB002BD6
-:0401200000DC002BD4
-:0401210000DD002BD2
-:0401220000DE002BD0
-:0401230000DF002BCE
-:0401240000E0002CCB
-:0401250000E1002CC9
-:0401260000E2002CC7
-:0401270000E3002CC5
-:0401280000E4002CC3
-:0401290000E5002CC1
-:04012A0000E6002DBE
-:04012B0000E7002DBC
-:04012C0000E8002DBA
-:04012D0000E9002DB8
-:04012E0000EA002DB6
-:04012F0000EB002EB3
-:0401300000EC002EB1
-:0401310000ED002EAF
-:0401320000EE002EAD
-:0401330000EF002EAB
-:0401340000F0002FA8
-:0401350000F0002FA7
-:0401360000F1002FA5
-:0401370000F2002FA3
-:0401380000F3002FA1
-:0401390000F400309E
-:04013A0000F500309C
-:04013B0000F600309A
-:04013C0000F7003098
-:04013D0000F8003096
-:04013E0000F9003094
-:04013F0000FA003191
-:0401400000BC0025DA
-:0401410000BD0025D8
-:0401420000BE0025D6
-:0401430000BF0025D4
-:0401440000C00025D2
-:0401450000C10026CF
-:0401460000C20026CD
-:0401470000C30026CB
-:0401480000C40026C9
-:0401490000C50026C7
-:04014A0000C60027C4
-:04014B0000C70027C2
-:04014C0000C80027C0
-:04014D0000C90027BE
-:04014E0000CA0027BC
-:04014F0000CB0027BA
-:0401500000CC0028B7
-:0401510000CD0028B5
-:0401520000CE0028B3
-:0401530000CF0028B1
-:0401540000D00028AF
-:0401550000D10029AC
-:0401560000D20029AA
-:0401570000D30029A8
-:0401580000D40029A6
-:0401590000D50029A4
-:04015A0000D6002AA1
-:04015B0000D7002A9F
-:04015C0000D8002A9D
-:04015D0000D9002A9B
-:04015E0000DA002A99
-:04015F0000DB002B96
-:0401600000DC002B94
-:0401610000DD002B92
-:0401620000DE002B90
-:0401630000DF002B8E
-:0401640000E0002C8B
-:0401650000E1002C89
-:0401660000E2002C87
-:0401670000E3002C85
-:0401680000E4002C83
-:0401690000E5002C81
-:04016A0000E6002D7E
-:04016B0000E7002D7C
-:04016C0000E8002D7A
-:04016D0000E9002D78
-:04016E0000EA002D76
-:04016F0000EB002E73
-:0401700000EC002E71
-:0401710000ED002E6F
-:0401720000EE002E6D
-:0401730000EF002E6B
-:0401740000F0002F68
-:0401750000F0002F67
-:0401760000F1002F65
-:0401770000F2002F63
-:0401780000F3002F61
-:0401790000F400305E
-:04017A0000F500305C
-:04017B0000F600305A
-:04017C0000F7003058
-:04017D0000F8003056
-:04017E0000F9003054
-:04017F0000FA003151
-:0401800000BC00259A
-:0401810000BD002598
-:0401820000BE002596
-:0401830000BF002594
-:0401840000C0002592
-:0401850000C100268F
-:0401860000C200268D
-:0401870000C300268B
-:0401880000C4002689
-:0401890000C5002687
-:04018A0000C6002784
-:04018B0000C7002782
-:04018C0000C8002780
-:04018D0000C900277E
-:04018E0000CA00277C
-:04018F0000CB00277A
-:0401900000CC002877
-:0401910000CD002875
-:0401920000CE002873
-:0401930000CF002871
-:0401940000D000286F
-:0401950000D100296C
-:0401960000D200296A
-:0401970000D3002968
-:0401980000D4002966
-:0401990000D5002964
-:04019A0000D6002A61
-:04019B0000D7002A5F
-:04019C0000D8002A5D
-:04019D0000D9002A5B
-:04019E0000DA002A59
-:04019F0000DB002B56
-:0401A00000DC002B54
-:0401A10000DD002B52
-:0401A20000DE002B50
-:0401A30000DF002B4E
-:0401A40000E0002C4B
-:0401A50000E1002C49
-:0401A60000E2002C47
-:0401A70000E3002C45
-:0401A80000E4002C43
-:0401A90000E5002C41
-:0401AA0000E6002D3E
-:0401AB0000E7002D3C
-:0401AC0000E8002D3A
-:0401AD0000E9002D38
-:0401AE0000EA002D36
-:0401AF0000EB002E33
-:0401B00000EC002E31
-:0401B10000ED002E2F
-:0401B20000EE002E2D
-:0401B30000EF002E2B
-:0401B40000F0002F28
-:0401B50000F0002F27
-:0401B60000F1002F25
-:0401B70000F2002F23
-:0401B80000F3002F21
-:0401B90000F400301E
-:0401BA0000F500301C
-:0401BB0000F600301A
-:0401BC0000F7003018
-:0401BD0000F8003016
-:0401BE0000F9003014
-:0401BF0000FA003111
-:0401C00000BC00255A
-:0401C10000BD002558
-:0401C20000BE002556
-:0401C30000BF002554
-:0401C40000C0002552
-:0401C50000C100264F
-:0401C60000C200264D
-:0401C70000C300264B
-:0401C80000C4002649
-:0401C90000C5002647
-:0401CA0000C6002744
-:0401CB0000C7002742
-:0401CC0000C8002740
-:0401CD0000C900273E
-:0401CE0000CA00273C
-:0401CF0000CB00273A
-:0401D00000CC002837
-:0401D10000CD002835
-:0401D20000CE002833
-:0401D30000CF002831
-:0401D40000D000282F
-:0401D50000D100292C
-:0401D60000D200292A
-:0401D70000D3002928
-:0401D80000D4002926
-:0401D90000D5002924
-:0401DA0000D6002A21
-:0401DB0000D7002A1F
-:0401DC0000D8002A1D
-:0401DD0000D9002A1B
-:0401DE0000DA002A19
-:0401DF0000DB002B16
-:0401E00000DC002B14
-:0401E10000DD002B12
-:0401E20000DE002B10
-:0401E30000DF002B0E
-:0401E40000E0002C0B
-:0401E50000E1002C09
-:0401E60000E2002C07
-:0401E70000E3002C05
-:0401E80000E4002C03
-:0401E90000E5002C01
-:0401EA0000E6002DFE
-:0401EB0000E7002DFC
-:0401EC0000E8002DFA
-:0401ED0000E9002DF8
-:0401EE0000EA002DF6
-:0401EF0000EB002EF3
-:0401F00000EC002EF1
-:0401F10000ED002EEF
-:0401F20000EE002EED
-:0401F30000EF002EEB
-:0401F40000F0002FE8
-:0401F50000F0002FE7
-:0401F60000F1002FE5
-:0401F70000F2002FE3
-:0401F80000F3002FE1
-:0401F90000F40030DE
-:0401FA0000F50030DC
-:0401FB0000F60030DA
-:0401FC0000F70030D8
-:0401FD0000F80030D6
-:0401FE0000F90030D4
-:0401FF0000FA0031D1
-:0402000000BC002519
-:0402010000BD002517
-:0402020000BE002515
-:0402030000BF002513
-:0402040000C0002511
-:0402050000C100260E
-:0402060000C200260C
-:0402070000C300260A
-:0402080000C4002608
-:0402090000C5002606
-:04020A0000C6002703
-:04020B0000C7002701
-:04020C0000C80027FF
-:04020D0000C90027FD
-:04020E0000CA0027FB
-:04020F0000CB0027F9
-:0402100000CC0028F6
-:0402110000CD0028F4
-:0402120000CE0028F2
-:0402130000CF0028F0
-:0402140000D00028EE
-:0402150000D10029EB
-:0402160000D20029E9
-:0402170000D30029E7
-:0402180000D40029E5
-:0402190000D50029E3
-:04021A0000D6002AE0
-:04021B0000D7002ADE
-:04021C0000D8002ADC
-:04021D0000D9002ADA
-:04021E0000DA002AD8
-:04021F0000DB002BD5
-:0402200000DC002BD3
-:0402210000DD002BD1
-:0402220000DE002BCF
-:0402230000DF002BCD
-:0402240000E0002CCA
-:0402250000E1002CC8
-:0402260000E2002CC6
-:0402270000E3002CC4
-:0402280000E4002CC2
-:0402290000E5002CC0
-:04022A0000E6002DBD
-:04022B0000E7002DBB
-:04022C0000E8002DB9
-:04022D0000E9002DB7
-:04022E0000EA002DB5
-:04022F0000EB002EB2
-:0402300000EC002EB0
-:0402310000ED002EAE
-:0402320000EE002EAC
-:0402330000EF002EAA
-:0402340000F0002FA7
-:0402350000F0002FA6
-:0402360000F1002FA4
-:0402370000F2002FA2
-:0402380000F3002FA0
-:0402390000F400309D
-:04023A0000F500309B
-:04023B0000F6003099
-:04023C0000F7003097
-:04023D0000F8003095
-:04023E0000F9003093
-:04023F0000FA003190
-:0402400000BC0025D9
-:0402410000BD0025D7
-:0402420000BE0025D5
-:0402430000BF0025D3
-:0402440000C00025D1
-:0402450000C10026CE
-:0402460000C20026CC
-:0402470000C30026CA
-:0402480000C40026C8
-:0402490000C50026C6
-:04024A0000C60027C3
-:04024B0000C70027C1
-:04024C0000C80027BF
-:04024D0000C90027BD
-:04024E0000CA0027BB
-:04024F0000CB0027B9
-:0402500000CC0028B6
-:0402510000CD0028B4
-:0402520000CE0028B2
-:0402530000CF0028B0
-:0402540000D00028AE
-:0402550000D10029AB
-:0402560000D20029A9
-:0402570000D30029A7
-:0402580000D40029A5
-:0402590000D50029A3
-:04025A0000D6002AA0
-:04025B0000D7002A9E
-:04025C0000D8002A9C
-:04025D0000D9002A9A
-:04025E0000DA002A98
-:04025F0000DB002B95
-:0402600000DC002B93
-:0402610000DD002B91
-:0402620000DE002B8F
-:0402630000DF002B8D
-:0402640000E0002C8A
-:0402650000E1002C88
-:0402660000E2002C86
-:0402670000E3002C84
-:0402680000E4002C82
-:0402690000E5002C80
-:04026A0000E6002D7D
-:04026B0000E7002D7B
-:04026C0000E8002D79
-:04026D0000E9002D77
-:04026E0000EA002D75
-:04026F0000EB002E72
-:0402700000EC002E70
-:0402710000ED002E6E
-:0402720000EE002E6C
-:0402730000EF002E6A
-:0402740000F0002F67
-:0402750000F0002F66
-:0402760000F1002F64
-:0402770000F2002F62
-:0402780000F3002F60
-:0402790000F400305D
-:04027A0000F500305B
-:04027B0000F6003059
-:04027C0000F7003057
-:04027D0000F8003055
-:04027E0000F9003053
-:04027F0000FA003150
-:0402800000BC002599
-:0402810000BD002597
-:0402820000BE002595
-:0402830000BF002593
-:0402840000C0002591
-:0402850000C100268E
-:0402860000C200268C
-:0402870000C300268A
-:0402880000C4002688
-:0402890000C5002686
-:04028A0000C6002783
-:04028B0000C7002781
-:04028C0000C800277F
-:04028D0000C900277D
-:04028E0000CA00277B
-:04028F0000CB002779
-:0402900000CC002876
-:0402910000CD002874
-:0402920000CE002872
-:0402930000CF002870
-:0402940000D000286E
-:0402950000D100296B
-:0402960000D2002969
-:0402970000D3002967
-:0402980000D4002965
-:0402990000D5002963
-:04029A0000D6002A60
-:04029B0000D7002A5E
-:04029C0000D8002A5C
-:04029D0000D9002A5A
-:04029E0000DA002A58
-:04029F0000DB002B55
-:0402A00000DC002B53
-:0402A10000DD002B51
-:0402A20000DE002B4F
-:0402A30000DF002B4D
-:0402A40000E0002C4A
-:0402A50000E1002C48
-:0402A60000E2002C46
-:0402A70000E3002C44
-:0402A80000E4002C42
-:0402A90000E5002C40
-:0402AA0000E6002D3D
-:0402AB0000E7002D3B
-:0402AC0000E8002D39
-:0402AD0000E9002D37
-:0402AE0000EA002D35
-:0402AF0000EB002E32
-:0402B00000EC002E30
-:0402B10000ED002E2E
-:0402B20000EE002E2C
-:0402B30000EF002E2A
-:0402B40000F0002F27
-:0402B50000F0002F26
-:0402B60000F1002F24
-:0402B70000F2002F22
-:0402B80000F3002F20
-:0402B90000F400301D
-:0402BA0000F500301B
-:0402BB0000F6003019
-:0402BC0000F7003017
-:0402BD0000F8003015
-:0402BE0000F9003013
-:0402BF0000FA003110
-:0402C00000BC002559
-:0402C10000BD002557
-:0402C20000BE002555
-:0402C30000BF002553
-:0402C40000C0002551
-:0402C50000C100264E
-:0402C60000C200264C
-:0402C70000C300264A
-:0402C80000C4002648
-:0402C90000C5002646
-:0402CA0000C6002743
-:0402CB0000C7002741
-:0402CC0000C800273F
-:0402CD0000C900273D
-:0402CE0000CA00273B
-:0402CF0000CB002739
-:0402D00000CC002836
-:0402D10000CD002834
-:0402D20000CE002832
-:0402D30000CF002830
-:0402D40000D000282E
-:0402D50000D100292B
-:0402D60000D2002929
-:0402D70000D3002927
-:0402D80000D4002925
-:0402D90000D5002923
-:0402DA0000D6002A20
-:0402DB0000D7002A1E
-:0402DC0000D8002A1C
-:0402DD0000D9002A1A
-:0402DE0000DA002A18
-:0402DF0000DB002B15
-:0402E00000DC002B13
-:0402E10000DD002B11
-:0402E20000DE002B0F
-:0402E30000DF002B0D
-:0402E40000E0002C0A
-:0402E50000E1002C08
-:0402E60000E2002C06
-:0402E70000E3002C04
-:0402E80000E4002C02
-:0402E90000E5002C00
-:0402EA0000E6002DFD
-:0402EB0000E7002DFB
-:0402EC0000E8002DF9
-:0402ED0000E9002DF7
-:0402EE0000EA002DF5
-:0402EF0000EB002EF2
-:0402F00000EC002EF0
-:0402F10000ED002EEE
-:0402F20000EE002EEC
-:0402F30000EF002EEA
-:0402F40000F0002FE7
-:0402F50000F0002FE6
-:0402F60000F1002FE4
-:0402F70000F2002FE2
-:0402F80000F3002FE0
-:0402F90000F40030DD
-:0402FA0000F50030DB
-:0402FB0000F60030D9
-:0402FC0000F70030D7
-:0402FD0000F80030D5
-:0402FE0000F90030D3
-:0402FF0000FA0031D0
-:0403000000BC002518
-:0403010000BD002516
-:0403020000BE002514
-:0403030000BF002512
-:0403040000C0002510
-:0403050000C100260D
-:0403060000C200260B
-:0403070000C3002609
-:0403080000C4002607
-:0403090000C5002605
-:04030A0000C6002702
-:04030B0000C7002700
-:04030C0000C80027FE
-:04030D0000C90027FC
-:04030E0000CA0027FA
-:04030F0000CB0027F8
-:0403100000CC0028F5
-:0403110000CD0028F3
-:0403120000CE0028F1
-:0403130000CF0028EF
-:0403140000D00028ED
-:0403150000D10029EA
-:0403160000D20029E8
-:0403170000D30029E6
-:0403180000D40029E4
-:0403190000D50029E2
-:04031A0000D6002ADF
-:04031B0000D7002ADD
-:04031C0000D8002ADB
-:04031D0000D9002AD9
-:04031E0000DA002AD7
-:04031F0000DB002BD4
-:0403200000DC002BD2
-:0403210000DD002BD0
-:0403220000DE002BCE
-:0403230000DF002BCC
-:0403240000E0002CC9
-:0403250000E1002CC7
-:0403260000E2002CC5
-:0403270000E3002CC3
-:0403280000E4002CC1
-:0403290000E5002CBF
-:04032A0000E6002DBC
-:04032B0000E7002DBA
-:04032C0000E8002DB8
-:04032D0000E9002DB6
-:04032E0000EA002DB4
-:04032F0000EB002EB1
-:0403300000EC002EAF
-:0403310000ED002EAD
-:0403320000EE002EAB
-:0403330000EF002EA9
-:0403340000F0002FA6
-:0403350000F0002FA5
-:0403360000F1002FA3
-:0403370000F2002FA1
-:0403380000F3002F9F
-:0403390000F400309C
-:04033A0000F500309A
-:04033B0000F6003098
-:04033C0000F7003096
-:04033D0000F8003094
-:04033E0000F9003092
-:04033F0000FA00318F
-:0403400000BC0025D8
-:0403410000BD0025D6
-:0403420000BE0025D4
-:0403430000BF0025D2
-:0403440000C00025D0
-:0403450000C10026CD
-:0403460000C20026CB
-:0403470000C30026C9
-:0403480000C40026C7
-:0403490000C50026C5
-:04034A0000C60027C2
-:04034B0000C70027C0
-:04034C0000C80027BE
-:04034D0000C90027BC
-:04034E0000CA0027BA
-:04034F0000CB0027B8
-:0403500000CC0028B5
-:0403510000CD0028B3
-:0403520000CE0028B1
-:0403530000CF0028AF
-:0403540000D00028AD
-:0403550000D10029AA
-:0403560000D20029A8
-:0403570000D30029A6
-:0403580000D40029A4
-:0403590000D50029A2
-:04035A0000D6002A9F
-:04035B0000D7002A9D
-:04035C0000D8002A9B
-:04035D0000D9002A99
-:04035E0000DA002A97
-:04035F0000DB002B94
-:0403600000DC002B92
-:0403610000DD002B90
-:0403620000DE002B8E
-:0403630000DF002B8C
-:0403640000E0002C89
-:0403650000E1002C87
-:0403660000E2002C85
-:0403670000E3002C83
-:0403680000E4002C81
-:0403690000E5002C7F
-:04036A0000E6002D7C
-:04036B0000E7002D7A
-:04036C0000E8002D78
-:04036D0000E9002D76
-:04036E0000EA002D74
-:04036F0000EB002E71
-:0403700000EC002E6F
-:0403710000ED002E6D
-:0403720000EE002E6B
-:0403730000EF002E69
-:0403740000F0002F66
-:0403750000F0002F65
-:0403760000F1002F63
-:0403770000F2002F61
-:0403780000F3002F5F
-:0403790000F400305C
-:04037A0000F500305A
-:04037B0000F6003058
-:04037C0000F7003056
-:04037D0000F8003054
-:04037E0000F9003052
-:04037F0000FA00314F
-:0403800000BC002598
-:0403810000BD002596
-:0403820000BE002594
-:0403830000BF002592
-:0403840000C0002590
-:0403850000C100268D
-:0403860000C200268B
-:0403870000C3002689
-:0403880000C4002687
-:0403890000C5002685
-:04038A0000C6002782
-:04038B0000C7002780
-:04038C0000C800277E
-:04038D0000C900277C
-:04038E0000CA00277A
-:04038F0000CB002778
-:0403900000CC002875
-:0403910000CD002873
-:0403920000CE002871
-:0403930000CF00286F
-:0403940000D000286D
-:0403950000D100296A
-:0403960000D2002968
-:0403970000D3002966
-:0403980000D4002964
-:0403990000D5002962
-:04039A0000D6002A5F
-:04039B0000D7002A5D
-:04039C0000D8002A5B
-:04039D0000D9002A59
-:04039E0000DA002A57
-:04039F0000DB002B54
-:0403A00000DC002B52
-:0403A10000DD002B50
-:0403A20000DE002B4E
-:0403A30000DF002B4C
-:0403A40000E0002C49
-:0403A50000E1002C47
-:0403A60000E2002C45
-:0403A70000E3002C43
-:0403A80000E4002C41
-:0403A90000E5002C3F
-:0403AA0000E6002D3C
-:0403AB0000E7002D3A
-:0403AC0000E8002D38
-:0403AD0000E9002D36
-:0403AE0000EA002D34
-:0403AF0000EB002E31
-:0403B00000EC002E2F
-:0403B10000ED002E2D
-:0403B20000EE002E2B
-:0403B30000EF002E29
-:0403B40000F0002F26
-:0403B50000F0002F25
-:0403B60000F1002F23
-:0403B70000F2002F21
-:0403B80000F3002F1F
-:0403B90000F400301C
-:0403BA0000F500301A
-:0403BB0000F6003018
-:0403BC0000F7003016
-:0403BD0000F8003014
-:0403BE0000F9003012
-:0403BF0000FA00310F
-:0403C00000BC002558
-:0403C10000BD002556
-:0403C20000BE002554
-:0403C30000BF002552
-:0403C40000C0002550
-:0403C50000C100264D
-:0403C60000C200264B
-:0403C70000C3002649
-:0403C80000C4002647
-:0403C90000C5002645
-:0403CA0000C6002742
-:0403CB0000C7002740
-:0403CC0000C800273E
-:0403CD0000C900273C
-:0403CE0000CA00273A
-:0403CF0000CB002738
-:0403D00000CC002835
-:0403D10000CD002833
-:0403D20000CE002831
-:0403D30000CF00282F
-:0403D40000D000282D
-:0403D50000D100292A
-:0403D60000D2002928
-:0403D70000D3002926
-:0403D80000D4002924
-:0403D90000D5002922
-:0403DA0000D6002A1F
-:0403DB0000D7002A1D
-:0403DC0000D8002A1B
-:0403DD0000D9002A19
-:0403DE0000DA002A17
-:0403DF0000DB002B14
-:0403E00000DC002B12
-:0403E10000DD002B10
-:0403E20000DE002B0E
-:0403E30000DF002B0C
-:0403E40000E0002C09
-:0403E50000E1002C07
-:0403E60000E2002C05
-:0403E70000E3002C03
-:0403E80000E4002C01
-:0403E90000E5002CFF
-:0403EA0000E6002DFC
-:0403EB0000E7002DFA
-:0403EC0000E8002DF8
-:0403ED0000E9002DF6
-:0403EE0000EA002DF4
-:0403EF0000EB002EF1
-:0403F00000EC002EEF
-:0403F10000ED002EED
-:0403F20000EE002EEB
-:0403F30000EF002EE9
-:0403F40000F0002FE6
-:0403F50000F0002FE5
-:0403F60000F1002FE3
-:0403F70000F2002FE1
-:0403F80000F3002FDF
-:0403F90000F40030DC
-:0403FA0000F50030DA
-:0403FB0000F60030D8
-:0403FC0000F70030D6
-:0403FD0000F80030D4
-:0403FE0000F90030D2
-:0403FF0000FA0031CF
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_11.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_11.hex
index be58990d800b6243c0fa419d70ae9bb041049e37..73f5e55e7918d3c8dd6c9395143124150dcfbd4f 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_11.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_11.hex
@@ -62,964 +62,4 @@
 :04003D0000F7003593
 :04003E0000F8003591
 :04003F0000F900358F
-:0400400000BC0028D8
-:0400410000BD0028D6
-:0400420000BE0028D4
-:0400430000BF0029D1
-:0400440000C00029CF
-:0400450000C10029CD
-:0400460000C20029CB
-:0400470000C30029C9
-:0400480000C4002AC6
-:0400490000C5002AC4
-:04004A0000C6002AC2
-:04004B0000C7002AC0
-:04004C0000C8002ABE
-:04004D0000C9002BBB
-:04004E0000C9002BBA
-:04004F0000CA002BB8
-:0400500000CB002BB6
-:0400510000CC002BB4
-:0400520000CD002CB1
-:0400530000CE002CAF
-:0400540000CF002CAD
-:0400550000D0002CAB
-:0400560000D1002CA9
-:0400570000D2002DA6
-:0400580000D3002DA4
-:0400590000D4002DA2
-:04005A0000D5002DA0
-:04005B0000D6002E9D
-:04005C0000D7002E9B
-:04005D0000D8002E99
-:04005E0000D9002E97
-:04005F0000DA002E95
-:0400600000DB002F92
-:0400610000DC002F90
-:0400620000DD002F8E
-:0400630000DE002F8C
-:0400640000DF002F8A
-:0400650000E0003087
-:0400660000E1003085
-:0400670000E2003083
-:0400680000E3003081
-:0400690000E400307F
-:04006A0000E500317C
-:04006B0000E600317A
-:04006C0000E7003178
-:04006D0000E8003176
-:04006E0000E9003174
-:04006F0000EA003271
-:0400700000EB00326F
-:0400710000EC00326D
-:0400720000ED00326B
-:0400730000EE003368
-:0400740000EF003366
-:0400750000F0003364
-:0400760000F1003362
-:0400770000F2003360
-:0400780000F300345D
-:0400790000F400345B
-:04007A0000F5003459
-:04007B0000F6003457
-:04007C0000F6003456
-:04007D0000F7003553
-:04007E0000F8003551
-:04007F0000F900354F
-:0400800000BC002898
-:0400810000BD002896
-:0400820000BE002894
-:0400830000BF002991
-:0400840000C000298F
-:0400850000C100298D
-:0400860000C200298B
-:0400870000C3002989
-:0400880000C4002A86
-:0400890000C5002A84
-:04008A0000C6002A82
-:04008B0000C7002A80
-:04008C0000C8002A7E
-:04008D0000C9002B7B
-:04008E0000C9002B7A
-:04008F0000CA002B78
-:0400900000CB002B76
-:0400910000CC002B74
-:0400920000CD002C71
-:0400930000CE002C6F
-:0400940000CF002C6D
-:0400950000D0002C6B
-:0400960000D1002C69
-:0400970000D2002D66
-:0400980000D3002D64
-:0400990000D4002D62
-:04009A0000D5002D60
-:04009B0000D6002E5D
-:04009C0000D7002E5B
-:04009D0000D8002E59
-:04009E0000D9002E57
-:04009F0000DA002E55
-:0400A00000DB002F52
-:0400A10000DC002F50
-:0400A20000DD002F4E
-:0400A30000DE002F4C
-:0400A40000DF002F4A
-:0400A50000E0003047
-:0400A60000E1003045
-:0400A70000E2003043
-:0400A80000E3003041
-:0400A90000E400303F
-:0400AA0000E500313C
-:0400AB0000E600313A
-:0400AC0000E7003138
-:0400AD0000E8003136
-:0400AE0000E9003134
-:0400AF0000EA003231
-:0400B00000EB00322F
-:0400B10000EC00322D
-:0400B20000ED00322B
-:0400B30000EE003328
-:0400B40000EF003326
-:0400B50000F0003324
-:0400B60000F1003322
-:0400B70000F2003320
-:0400B80000F300341D
-:0400B90000F400341B
-:0400BA0000F5003419
-:0400BB0000F6003417
-:0400BC0000F6003416
-:0400BD0000F7003513
-:0400BE0000F8003511
-:0400BF0000F900350F
-:0400C00000BC002858
-:0400C10000BD002856
-:0400C20000BE002854
-:0400C30000BF002951
-:0400C40000C000294F
-:0400C50000C100294D
-:0400C60000C200294B
-:0400C70000C3002949
-:0400C80000C4002A46
-:0400C90000C5002A44
-:0400CA0000C6002A42
-:0400CB0000C7002A40
-:0400CC0000C8002A3E
-:0400CD0000C9002B3B
-:0400CE0000C9002B3A
-:0400CF0000CA002B38
-:0400D00000CB002B36
-:0400D10000CC002B34
-:0400D20000CD002C31
-:0400D30000CE002C2F
-:0400D40000CF002C2D
-:0400D50000D0002C2B
-:0400D60000D1002C29
-:0400D70000D2002D26
-:0400D80000D3002D24
-:0400D90000D4002D22
-:0400DA0000D5002D20
-:0400DB0000D6002E1D
-:0400DC0000D7002E1B
-:0400DD0000D8002E19
-:0400DE0000D9002E17
-:0400DF0000DA002E15
-:0400E00000DB002F12
-:0400E10000DC002F10
-:0400E20000DD002F0E
-:0400E30000DE002F0C
-:0400E40000DF002F0A
-:0400E50000E0003007
-:0400E60000E1003005
-:0400E70000E2003003
-:0400E80000E3003001
-:0400E90000E40030FF
-:0400EA0000E50031FC
-:0400EB0000E60031FA
-:0400EC0000E70031F8
-:0400ED0000E80031F6
-:0400EE0000E90031F4
-:0400EF0000EA0032F1
-:0400F00000EB0032EF
-:0400F10000EC0032ED
-:0400F20000ED0032EB
-:0400F30000EE0033E8
-:0400F40000EF0033E6
-:0400F50000F00033E4
-:0400F60000F10033E2
-:0400F70000F20033E0
-:0400F80000F30034DD
-:0400F90000F40034DB
-:0400FA0000F50034D9
-:0400FB0000F60034D7
-:0400FC0000F60034D6
-:0400FD0000F70035D3
-:0400FE0000F80035D1
-:0400FF0000F90035CF
-:0401000000BC002817
-:0401010000BD002815
-:0401020000BE002813
-:0401030000BF002910
-:0401040000C000290E
-:0401050000C100290C
-:0401060000C200290A
-:0401070000C3002908
-:0401080000C4002A05
-:0401090000C5002A03
-:04010A0000C6002A01
-:04010B0000C7002AFF
-:04010C0000C8002AFD
-:04010D0000C9002BFA
-:04010E0000C9002BF9
-:04010F0000CA002BF7
-:0401100000CB002BF5
-:0401110000CC002BF3
-:0401120000CD002CF0
-:0401130000CE002CEE
-:0401140000CF002CEC
-:0401150000D0002CEA
-:0401160000D1002CE8
-:0401170000D2002DE5
-:0401180000D3002DE3
-:0401190000D4002DE1
-:04011A0000D5002DDF
-:04011B0000D6002EDC
-:04011C0000D7002EDA
-:04011D0000D8002ED8
-:04011E0000D9002ED6
-:04011F0000DA002ED4
-:0401200000DB002FD1
-:0401210000DC002FCF
-:0401220000DD002FCD
-:0401230000DE002FCB
-:0401240000DF002FC9
-:0401250000E00030C6
-:0401260000E10030C4
-:0401270000E20030C2
-:0401280000E30030C0
-:0401290000E40030BE
-:04012A0000E50031BB
-:04012B0000E60031B9
-:04012C0000E70031B7
-:04012D0000E80031B5
-:04012E0000E90031B3
-:04012F0000EA0032B0
-:0401300000EB0032AE
-:0401310000EC0032AC
-:0401320000ED0032AA
-:0401330000EE0033A7
-:0401340000EF0033A5
-:0401350000F00033A3
-:0401360000F10033A1
-:0401370000F200339F
-:0401380000F300349C
-:0401390000F400349A
-:04013A0000F5003498
-:04013B0000F6003496
-:04013C0000F6003495
-:04013D0000F7003592
-:04013E0000F8003590
-:04013F0000F900358E
-:0401400000BC0028D7
-:0401410000BD0028D5
-:0401420000BE0028D3
-:0401430000BF0029D0
-:0401440000C00029CE
-:0401450000C10029CC
-:0401460000C20029CA
-:0401470000C30029C8
-:0401480000C4002AC5
-:0401490000C5002AC3
-:04014A0000C6002AC1
-:04014B0000C7002ABF
-:04014C0000C8002ABD
-:04014D0000C9002BBA
-:04014E0000C9002BB9
-:04014F0000CA002BB7
-:0401500000CB002BB5
-:0401510000CC002BB3
-:0401520000CD002CB0
-:0401530000CE002CAE
-:0401540000CF002CAC
-:0401550000D0002CAA
-:0401560000D1002CA8
-:0401570000D2002DA5
-:0401580000D3002DA3
-:0401590000D4002DA1
-:04015A0000D5002D9F
-:04015B0000D6002E9C
-:04015C0000D7002E9A
-:04015D0000D8002E98
-:04015E0000D9002E96
-:04015F0000DA002E94
-:0401600000DB002F91
-:0401610000DC002F8F
-:0401620000DD002F8D
-:0401630000DE002F8B
-:0401640000DF002F89
-:0401650000E0003086
-:0401660000E1003084
-:0401670000E2003082
-:0401680000E3003080
-:0401690000E400307E
-:04016A0000E500317B
-:04016B0000E6003179
-:04016C0000E7003177
-:04016D0000E8003175
-:04016E0000E9003173
-:04016F0000EA003270
-:0401700000EB00326E
-:0401710000EC00326C
-:0401720000ED00326A
-:0401730000EE003367
-:0401740000EF003365
-:0401750000F0003363
-:0401760000F1003361
-:0401770000F200335F
-:0401780000F300345C
-:0401790000F400345A
-:04017A0000F5003458
-:04017B0000F6003456
-:04017C0000F6003455
-:04017D0000F7003552
-:04017E0000F8003550
-:04017F0000F900354E
-:0401800000BC002897
-:0401810000BD002895
-:0401820000BE002893
-:0401830000BF002990
-:0401840000C000298E
-:0401850000C100298C
-:0401860000C200298A
-:0401870000C3002988
-:0401880000C4002A85
-:0401890000C5002A83
-:04018A0000C6002A81
-:04018B0000C7002A7F
-:04018C0000C8002A7D
-:04018D0000C9002B7A
-:04018E0000C9002B79
-:04018F0000CA002B77
-:0401900000CB002B75
-:0401910000CC002B73
-:0401920000CD002C70
-:0401930000CE002C6E
-:0401940000CF002C6C
-:0401950000D0002C6A
-:0401960000D1002C68
-:0401970000D2002D65
-:0401980000D3002D63
-:0401990000D4002D61
-:04019A0000D5002D5F
-:04019B0000D6002E5C
-:04019C0000D7002E5A
-:04019D0000D8002E58
-:04019E0000D9002E56
-:04019F0000DA002E54
-:0401A00000DB002F51
-:0401A10000DC002F4F
-:0401A20000DD002F4D
-:0401A30000DE002F4B
-:0401A40000DF002F49
-:0401A50000E0003046
-:0401A60000E1003044
-:0401A70000E2003042
-:0401A80000E3003040
-:0401A90000E400303E
-:0401AA0000E500313B
-:0401AB0000E6003139
-:0401AC0000E7003137
-:0401AD0000E8003135
-:0401AE0000E9003133
-:0401AF0000EA003230
-:0401B00000EB00322E
-:0401B10000EC00322C
-:0401B20000ED00322A
-:0401B30000EE003327
-:0401B40000EF003325
-:0401B50000F0003323
-:0401B60000F1003321
-:0401B70000F200331F
-:0401B80000F300341C
-:0401B90000F400341A
-:0401BA0000F5003418
-:0401BB0000F6003416
-:0401BC0000F6003415
-:0401BD0000F7003512
-:0401BE0000F8003510
-:0401BF0000F900350E
-:0401C00000BC002857
-:0401C10000BD002855
-:0401C20000BE002853
-:0401C30000BF002950
-:0401C40000C000294E
-:0401C50000C100294C
-:0401C60000C200294A
-:0401C70000C3002948
-:0401C80000C4002A45
-:0401C90000C5002A43
-:0401CA0000C6002A41
-:0401CB0000C7002A3F
-:0401CC0000C8002A3D
-:0401CD0000C9002B3A
-:0401CE0000C9002B39
-:0401CF0000CA002B37
-:0401D00000CB002B35
-:0401D10000CC002B33
-:0401D20000CD002C30
-:0401D30000CE002C2E
-:0401D40000CF002C2C
-:0401D50000D0002C2A
-:0401D60000D1002C28
-:0401D70000D2002D25
-:0401D80000D3002D23
-:0401D90000D4002D21
-:0401DA0000D5002D1F
-:0401DB0000D6002E1C
-:0401DC0000D7002E1A
-:0401DD0000D8002E18
-:0401DE0000D9002E16
-:0401DF0000DA002E14
-:0401E00000DB002F11
-:0401E10000DC002F0F
-:0401E20000DD002F0D
-:0401E30000DE002F0B
-:0401E40000DF002F09
-:0401E50000E0003006
-:0401E60000E1003004
-:0401E70000E2003002
-:0401E80000E3003000
-:0401E90000E40030FE
-:0401EA0000E50031FB
-:0401EB0000E60031F9
-:0401EC0000E70031F7
-:0401ED0000E80031F5
-:0401EE0000E90031F3
-:0401EF0000EA0032F0
-:0401F00000EB0032EE
-:0401F10000EC0032EC
-:0401F20000ED0032EA
-:0401F30000EE0033E7
-:0401F40000EF0033E5
-:0401F50000F00033E3
-:0401F60000F10033E1
-:0401F70000F20033DF
-:0401F80000F30034DC
-:0401F90000F40034DA
-:0401FA0000F50034D8
-:0401FB0000F60034D6
-:0401FC0000F60034D5
-:0401FD0000F70035D2
-:0401FE0000F80035D0
-:0401FF0000F90035CE
-:0402000000BC002816
-:0402010000BD002814
-:0402020000BE002812
-:0402030000BF00290F
-:0402040000C000290D
-:0402050000C100290B
-:0402060000C2002909
-:0402070000C3002907
-:0402080000C4002A04
-:0402090000C5002A02
-:04020A0000C6002A00
-:04020B0000C7002AFE
-:04020C0000C8002AFC
-:04020D0000C9002BF9
-:04020E0000C9002BF8
-:04020F0000CA002BF6
-:0402100000CB002BF4
-:0402110000CC002BF2
-:0402120000CD002CEF
-:0402130000CE002CED
-:0402140000CF002CEB
-:0402150000D0002CE9
-:0402160000D1002CE7
-:0402170000D2002DE4
-:0402180000D3002DE2
-:0402190000D4002DE0
-:04021A0000D5002DDE
-:04021B0000D6002EDB
-:04021C0000D7002ED9
-:04021D0000D8002ED7
-:04021E0000D9002ED5
-:04021F0000DA002ED3
-:0402200000DB002FD0
-:0402210000DC002FCE
-:0402220000DD002FCC
-:0402230000DE002FCA
-:0402240000DF002FC8
-:0402250000E00030C5
-:0402260000E10030C3
-:0402270000E20030C1
-:0402280000E30030BF
-:0402290000E40030BD
-:04022A0000E50031BA
-:04022B0000E60031B8
-:04022C0000E70031B6
-:04022D0000E80031B4
-:04022E0000E90031B2
-:04022F0000EA0032AF
-:0402300000EB0032AD
-:0402310000EC0032AB
-:0402320000ED0032A9
-:0402330000EE0033A6
-:0402340000EF0033A4
-:0402350000F00033A2
-:0402360000F10033A0
-:0402370000F200339E
-:0402380000F300349B
-:0402390000F4003499
-:04023A0000F5003497
-:04023B0000F6003495
-:04023C0000F6003494
-:04023D0000F7003591
-:04023E0000F800358F
-:04023F0000F900358D
-:0402400000BC0028D6
-:0402410000BD0028D4
-:0402420000BE0028D2
-:0402430000BF0029CF
-:0402440000C00029CD
-:0402450000C10029CB
-:0402460000C20029C9
-:0402470000C30029C7
-:0402480000C4002AC4
-:0402490000C5002AC2
-:04024A0000C6002AC0
-:04024B0000C7002ABE
-:04024C0000C8002ABC
-:04024D0000C9002BB9
-:04024E0000C9002BB8
-:04024F0000CA002BB6
-:0402500000CB002BB4
-:0402510000CC002BB2
-:0402520000CD002CAF
-:0402530000CE002CAD
-:0402540000CF002CAB
-:0402550000D0002CA9
-:0402560000D1002CA7
-:0402570000D2002DA4
-:0402580000D3002DA2
-:0402590000D4002DA0
-:04025A0000D5002D9E
-:04025B0000D6002E9B
-:04025C0000D7002E99
-:04025D0000D8002E97
-:04025E0000D9002E95
-:04025F0000DA002E93
-:0402600000DB002F90
-:0402610000DC002F8E
-:0402620000DD002F8C
-:0402630000DE002F8A
-:0402640000DF002F88
-:0402650000E0003085
-:0402660000E1003083
-:0402670000E2003081
-:0402680000E300307F
-:0402690000E400307D
-:04026A0000E500317A
-:04026B0000E6003178
-:04026C0000E7003176
-:04026D0000E8003174
-:04026E0000E9003172
-:04026F0000EA00326F
-:0402700000EB00326D
-:0402710000EC00326B
-:0402720000ED003269
-:0402730000EE003366
-:0402740000EF003364
-:0402750000F0003362
-:0402760000F1003360
-:0402770000F200335E
-:0402780000F300345B
-:0402790000F4003459
-:04027A0000F5003457
-:04027B0000F6003455
-:04027C0000F6003454
-:04027D0000F7003551
-:04027E0000F800354F
-:04027F0000F900354D
-:0402800000BC002896
-:0402810000BD002894
-:0402820000BE002892
-:0402830000BF00298F
-:0402840000C000298D
-:0402850000C100298B
-:0402860000C2002989
-:0402870000C3002987
-:0402880000C4002A84
-:0402890000C5002A82
-:04028A0000C6002A80
-:04028B0000C7002A7E
-:04028C0000C8002A7C
-:04028D0000C9002B79
-:04028E0000C9002B78
-:04028F0000CA002B76
-:0402900000CB002B74
-:0402910000CC002B72
-:0402920000CD002C6F
-:0402930000CE002C6D
-:0402940000CF002C6B
-:0402950000D0002C69
-:0402960000D1002C67
-:0402970000D2002D64
-:0402980000D3002D62
-:0402990000D4002D60
-:04029A0000D5002D5E
-:04029B0000D6002E5B
-:04029C0000D7002E59
-:04029D0000D8002E57
-:04029E0000D9002E55
-:04029F0000DA002E53
-:0402A00000DB002F50
-:0402A10000DC002F4E
-:0402A20000DD002F4C
-:0402A30000DE002F4A
-:0402A40000DF002F48
-:0402A50000E0003045
-:0402A60000E1003043
-:0402A70000E2003041
-:0402A80000E300303F
-:0402A90000E400303D
-:0402AA0000E500313A
-:0402AB0000E6003138
-:0402AC0000E7003136
-:0402AD0000E8003134
-:0402AE0000E9003132
-:0402AF0000EA00322F
-:0402B00000EB00322D
-:0402B10000EC00322B
-:0402B20000ED003229
-:0402B30000EE003326
-:0402B40000EF003324
-:0402B50000F0003322
-:0402B60000F1003320
-:0402B70000F200331E
-:0402B80000F300341B
-:0402B90000F4003419
-:0402BA0000F5003417
-:0402BB0000F6003415
-:0402BC0000F6003414
-:0402BD0000F7003511
-:0402BE0000F800350F
-:0402BF0000F900350D
-:0402C00000BC002856
-:0402C10000BD002854
-:0402C20000BE002852
-:0402C30000BF00294F
-:0402C40000C000294D
-:0402C50000C100294B
-:0402C60000C2002949
-:0402C70000C3002947
-:0402C80000C4002A44
-:0402C90000C5002A42
-:0402CA0000C6002A40
-:0402CB0000C7002A3E
-:0402CC0000C8002A3C
-:0402CD0000C9002B39
-:0402CE0000C9002B38
-:0402CF0000CA002B36
-:0402D00000CB002B34
-:0402D10000CC002B32
-:0402D20000CD002C2F
-:0402D30000CE002C2D
-:0402D40000CF002C2B
-:0402D50000D0002C29
-:0402D60000D1002C27
-:0402D70000D2002D24
-:0402D80000D3002D22
-:0402D90000D4002D20
-:0402DA0000D5002D1E
-:0402DB0000D6002E1B
-:0402DC0000D7002E19
-:0402DD0000D8002E17
-:0402DE0000D9002E15
-:0402DF0000DA002E13
-:0402E00000DB002F10
-:0402E10000DC002F0E
-:0402E20000DD002F0C
-:0402E30000DE002F0A
-:0402E40000DF002F08
-:0402E50000E0003005
-:0402E60000E1003003
-:0402E70000E2003001
-:0402E80000E30030FF
-:0402E90000E40030FD
-:0402EA0000E50031FA
-:0402EB0000E60031F8
-:0402EC0000E70031F6
-:0402ED0000E80031F4
-:0402EE0000E90031F2
-:0402EF0000EA0032EF
-:0402F00000EB0032ED
-:0402F10000EC0032EB
-:0402F20000ED0032E9
-:0402F30000EE0033E6
-:0402F40000EF0033E4
-:0402F50000F00033E2
-:0402F60000F10033E0
-:0402F70000F20033DE
-:0402F80000F30034DB
-:0402F90000F40034D9
-:0402FA0000F50034D7
-:0402FB0000F60034D5
-:0402FC0000F60034D4
-:0402FD0000F70035D1
-:0402FE0000F80035CF
-:0402FF0000F90035CD
-:0403000000BC002815
-:0403010000BD002813
-:0403020000BE002811
-:0403030000BF00290E
-:0403040000C000290C
-:0403050000C100290A
-:0403060000C2002908
-:0403070000C3002906
-:0403080000C4002A03
-:0403090000C5002A01
-:04030A0000C6002AFF
-:04030B0000C7002AFD
-:04030C0000C8002AFB
-:04030D0000C9002BF8
-:04030E0000C9002BF7
-:04030F0000CA002BF5
-:0403100000CB002BF3
-:0403110000CC002BF1
-:0403120000CD002CEE
-:0403130000CE002CEC
-:0403140000CF002CEA
-:0403150000D0002CE8
-:0403160000D1002CE6
-:0403170000D2002DE3
-:0403180000D3002DE1
-:0403190000D4002DDF
-:04031A0000D5002DDD
-:04031B0000D6002EDA
-:04031C0000D7002ED8
-:04031D0000D8002ED6
-:04031E0000D9002ED4
-:04031F0000DA002ED2
-:0403200000DB002FCF
-:0403210000DC002FCD
-:0403220000DD002FCB
-:0403230000DE002FC9
-:0403240000DF002FC7
-:0403250000E00030C4
-:0403260000E10030C2
-:0403270000E20030C0
-:0403280000E30030BE
-:0403290000E40030BC
-:04032A0000E50031B9
-:04032B0000E60031B7
-:04032C0000E70031B5
-:04032D0000E80031B3
-:04032E0000E90031B1
-:04032F0000EA0032AE
-:0403300000EB0032AC
-:0403310000EC0032AA
-:0403320000ED0032A8
-:0403330000EE0033A5
-:0403340000EF0033A3
-:0403350000F00033A1
-:0403360000F100339F
-:0403370000F200339D
-:0403380000F300349A
-:0403390000F4003498
-:04033A0000F5003496
-:04033B0000F6003494
-:04033C0000F6003493
-:04033D0000F7003590
-:04033E0000F800358E
-:04033F0000F900358C
-:0403400000BC0028D5
-:0403410000BD0028D3
-:0403420000BE0028D1
-:0403430000BF0029CE
-:0403440000C00029CC
-:0403450000C10029CA
-:0403460000C20029C8
-:0403470000C30029C6
-:0403480000C4002AC3
-:0403490000C5002AC1
-:04034A0000C6002ABF
-:04034B0000C7002ABD
-:04034C0000C8002ABB
-:04034D0000C9002BB8
-:04034E0000C9002BB7
-:04034F0000CA002BB5
-:0403500000CB002BB3
-:0403510000CC002BB1
-:0403520000CD002CAE
-:0403530000CE002CAC
-:0403540000CF002CAA
-:0403550000D0002CA8
-:0403560000D1002CA6
-:0403570000D2002DA3
-:0403580000D3002DA1
-:0403590000D4002D9F
-:04035A0000D5002D9D
-:04035B0000D6002E9A
-:04035C0000D7002E98
-:04035D0000D8002E96
-:04035E0000D9002E94
-:04035F0000DA002E92
-:0403600000DB002F8F
-:0403610000DC002F8D
-:0403620000DD002F8B
-:0403630000DE002F89
-:0403640000DF002F87
-:0403650000E0003084
-:0403660000E1003082
-:0403670000E2003080
-:0403680000E300307E
-:0403690000E400307C
-:04036A0000E5003179
-:04036B0000E6003177
-:04036C0000E7003175
-:04036D0000E8003173
-:04036E0000E9003171
-:04036F0000EA00326E
-:0403700000EB00326C
-:0403710000EC00326A
-:0403720000ED003268
-:0403730000EE003365
-:0403740000EF003363
-:0403750000F0003361
-:0403760000F100335F
-:0403770000F200335D
-:0403780000F300345A
-:0403790000F4003458
-:04037A0000F5003456
-:04037B0000F6003454
-:04037C0000F6003453
-:04037D0000F7003550
-:04037E0000F800354E
-:04037F0000F900354C
-:0403800000BC002895
-:0403810000BD002893
-:0403820000BE002891
-:0403830000BF00298E
-:0403840000C000298C
-:0403850000C100298A
-:0403860000C2002988
-:0403870000C3002986
-:0403880000C4002A83
-:0403890000C5002A81
-:04038A0000C6002A7F
-:04038B0000C7002A7D
-:04038C0000C8002A7B
-:04038D0000C9002B78
-:04038E0000C9002B77
-:04038F0000CA002B75
-:0403900000CB002B73
-:0403910000CC002B71
-:0403920000CD002C6E
-:0403930000CE002C6C
-:0403940000CF002C6A
-:0403950000D0002C68
-:0403960000D1002C66
-:0403970000D2002D63
-:0403980000D3002D61
-:0403990000D4002D5F
-:04039A0000D5002D5D
-:04039B0000D6002E5A
-:04039C0000D7002E58
-:04039D0000D8002E56
-:04039E0000D9002E54
-:04039F0000DA002E52
-:0403A00000DB002F4F
-:0403A10000DC002F4D
-:0403A20000DD002F4B
-:0403A30000DE002F49
-:0403A40000DF002F47
-:0403A50000E0003044
-:0403A60000E1003042
-:0403A70000E2003040
-:0403A80000E300303E
-:0403A90000E400303C
-:0403AA0000E5003139
-:0403AB0000E6003137
-:0403AC0000E7003135
-:0403AD0000E8003133
-:0403AE0000E9003131
-:0403AF0000EA00322E
-:0403B00000EB00322C
-:0403B10000EC00322A
-:0403B20000ED003228
-:0403B30000EE003325
-:0403B40000EF003323
-:0403B50000F0003321
-:0403B60000F100331F
-:0403B70000F200331D
-:0403B80000F300341A
-:0403B90000F4003418
-:0403BA0000F5003416
-:0403BB0000F6003414
-:0403BC0000F6003413
-:0403BD0000F7003510
-:0403BE0000F800350E
-:0403BF0000F900350C
-:0403C00000BC002855
-:0403C10000BD002853
-:0403C20000BE002851
-:0403C30000BF00294E
-:0403C40000C000294C
-:0403C50000C100294A
-:0403C60000C2002948
-:0403C70000C3002946
-:0403C80000C4002A43
-:0403C90000C5002A41
-:0403CA0000C6002A3F
-:0403CB0000C7002A3D
-:0403CC0000C8002A3B
-:0403CD0000C9002B38
-:0403CE0000C9002B37
-:0403CF0000CA002B35
-:0403D00000CB002B33
-:0403D10000CC002B31
-:0403D20000CD002C2E
-:0403D30000CE002C2C
-:0403D40000CF002C2A
-:0403D50000D0002C28
-:0403D60000D1002C26
-:0403D70000D2002D23
-:0403D80000D3002D21
-:0403D90000D4002D1F
-:0403DA0000D5002D1D
-:0403DB0000D6002E1A
-:0403DC0000D7002E18
-:0403DD0000D8002E16
-:0403DE0000D9002E14
-:0403DF0000DA002E12
-:0403E00000DB002F0F
-:0403E10000DC002F0D
-:0403E20000DD002F0B
-:0403E30000DE002F09
-:0403E40000DF002F07
-:0403E50000E0003004
-:0403E60000E1003002
-:0403E70000E2003000
-:0403E80000E30030FE
-:0403E90000E40030FC
-:0403EA0000E50031F9
-:0403EB0000E60031F7
-:0403EC0000E70031F5
-:0403ED0000E80031F3
-:0403EE0000E90031F1
-:0403EF0000EA0032EE
-:0403F00000EB0032EC
-:0403F10000EC0032EA
-:0403F20000ED0032E8
-:0403F30000EE0033E5
-:0403F40000EF0033E3
-:0403F50000F00033E1
-:0403F60000F10033DF
-:0403F70000F20033DD
-:0403F80000F30034DA
-:0403F90000F40034D8
-:0403FA0000F50034D6
-:0403FB0000F60034D4
-:0403FC0000F60034D3
-:0403FD0000F70035D0
-:0403FE0000F80035CE
-:0403FF0000F90035CC
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_12.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_12.hex
index 38a67f1b3f97e925d9783020f724f6ead49a316e..c5fd097859f9d15fc5018472574edeecb5b912f8 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_12.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_12.hex
@@ -62,964 +62,4 @@
 :04003D0000F700398F
 :04003E0000F700398E
 :04003F0000F800398C
-:0400400000BB002BD6
-:0400410000BC002BD4
-:0400420000BD002CD1
-:0400430000BE002CCF
-:0400440000BF002CCD
-:0400450000C0002CCB
-:0400460000C1002DC8
-:0400470000C2002DC6
-:0400480000C3002DC4
-:0400490000C4002DC2
-:04004A0000C5002DC0
-:04004B0000C6002EBD
-:04004C0000C7002EBB
-:04004D0000C8002EB9
-:04004E0000C9002EB7
-:04004F0000CA002FB4
-:0400500000CB002FB2
-:0400510000CC002FB0
-:0400520000CD002FAE
-:0400530000CE002FAC
-:0400540000CF0030A9
-:0400550000D00030A7
-:0400560000D10030A5
-:0400570000D10030A4
-:0400580000D20031A1
-:0400590000D300319F
-:04005A0000D400319D
-:04005B0000D500319B
-:04005C0000D6003199
-:04005D0000D7003296
-:04005E0000D8003294
-:04005F0000D9003292
-:0400600000DA003290
-:0400610000DB00338D
-:0400620000DC00338B
-:0400630000DD003389
-:0400640000DE003387
-:0400650000DF003484
-:0400660000E0003482
-:0400670000E1003480
-:0400680000E200347E
-:0400690000E300347C
-:04006A0000E4003579
-:04006B0000E5003577
-:04006C0000E6003575
-:04006D0000E7003573
-:04006E0000E8003670
-:04006F0000E900366E
-:0400700000EA00366C
-:0400710000EB00366A
-:0400720000EC003668
-:0400730000ED003765
-:0400740000EE003763
-:0400750000EF003761
-:0400760000F000375F
-:0400770000F100385C
-:0400780000F200385A
-:0400790000F3003858
-:04007A0000F4003856
-:04007B0000F5003854
-:04007C0000F6003951
-:04007D0000F700394F
-:04007E0000F700394E
-:04007F0000F800394C
-:0400800000BB002B96
-:0400810000BC002B94
-:0400820000BD002C91
-:0400830000BE002C8F
-:0400840000BF002C8D
-:0400850000C0002C8B
-:0400860000C1002D88
-:0400870000C2002D86
-:0400880000C3002D84
-:0400890000C4002D82
-:04008A0000C5002D80
-:04008B0000C6002E7D
-:04008C0000C7002E7B
-:04008D0000C8002E79
-:04008E0000C9002E77
-:04008F0000CA002F74
-:0400900000CB002F72
-:0400910000CC002F70
-:0400920000CD002F6E
-:0400930000CE002F6C
-:0400940000CF003069
-:0400950000D0003067
-:0400960000D1003065
-:0400970000D1003064
-:0400980000D2003161
-:0400990000D300315F
-:04009A0000D400315D
-:04009B0000D500315B
-:04009C0000D6003159
-:04009D0000D7003256
-:04009E0000D8003254
-:04009F0000D9003252
-:0400A00000DA003250
-:0400A10000DB00334D
-:0400A20000DC00334B
-:0400A30000DD003349
-:0400A40000DE003347
-:0400A50000DF003444
-:0400A60000E0003442
-:0400A70000E1003440
-:0400A80000E200343E
-:0400A90000E300343C
-:0400AA0000E4003539
-:0400AB0000E5003537
-:0400AC0000E6003535
-:0400AD0000E7003533
-:0400AE0000E8003630
-:0400AF0000E900362E
-:0400B00000EA00362C
-:0400B10000EB00362A
-:0400B20000EC003628
-:0400B30000ED003725
-:0400B40000EE003723
-:0400B50000EF003721
-:0400B60000F000371F
-:0400B70000F100381C
-:0400B80000F200381A
-:0400B90000F3003818
-:0400BA0000F4003816
-:0400BB0000F5003814
-:0400BC0000F6003911
-:0400BD0000F700390F
-:0400BE0000F700390E
-:0400BF0000F800390C
-:0400C00000BB002B56
-:0400C10000BC002B54
-:0400C20000BD002C51
-:0400C30000BE002C4F
-:0400C40000BF002C4D
-:0400C50000C0002C4B
-:0400C60000C1002D48
-:0400C70000C2002D46
-:0400C80000C3002D44
-:0400C90000C4002D42
-:0400CA0000C5002D40
-:0400CB0000C6002E3D
-:0400CC0000C7002E3B
-:0400CD0000C8002E39
-:0400CE0000C9002E37
-:0400CF0000CA002F34
-:0400D00000CB002F32
-:0400D10000CC002F30
-:0400D20000CD002F2E
-:0400D30000CE002F2C
-:0400D40000CF003029
-:0400D50000D0003027
-:0400D60000D1003025
-:0400D70000D1003024
-:0400D80000D2003121
-:0400D90000D300311F
-:0400DA0000D400311D
-:0400DB0000D500311B
-:0400DC0000D6003119
-:0400DD0000D7003216
-:0400DE0000D8003214
-:0400DF0000D9003212
-:0400E00000DA003210
-:0400E10000DB00330D
-:0400E20000DC00330B
-:0400E30000DD003309
-:0400E40000DE003307
-:0400E50000DF003404
-:0400E60000E0003402
-:0400E70000E1003400
-:0400E80000E20034FE
-:0400E90000E30034FC
-:0400EA0000E40035F9
-:0400EB0000E50035F7
-:0400EC0000E60035F5
-:0400ED0000E70035F3
-:0400EE0000E80036F0
-:0400EF0000E90036EE
-:0400F00000EA0036EC
-:0400F10000EB0036EA
-:0400F20000EC0036E8
-:0400F30000ED0037E5
-:0400F40000EE0037E3
-:0400F50000EF0037E1
-:0400F60000F00037DF
-:0400F70000F10038DC
-:0400F80000F20038DA
-:0400F90000F30038D8
-:0400FA0000F40038D6
-:0400FB0000F50038D4
-:0400FC0000F60039D1
-:0400FD0000F70039CF
-:0400FE0000F70039CE
-:0400FF0000F80039CC
-:0401000000BB002B15
-:0401010000BC002B13
-:0401020000BD002C10
-:0401030000BE002C0E
-:0401040000BF002C0C
-:0401050000C0002C0A
-:0401060000C1002D07
-:0401070000C2002D05
-:0401080000C3002D03
-:0401090000C4002D01
-:04010A0000C5002DFF
-:04010B0000C6002EFC
-:04010C0000C7002EFA
-:04010D0000C8002EF8
-:04010E0000C9002EF6
-:04010F0000CA002FF3
-:0401100000CB002FF1
-:0401110000CC002FEF
-:0401120000CD002FED
-:0401130000CE002FEB
-:0401140000CF0030E8
-:0401150000D00030E6
-:0401160000D10030E4
-:0401170000D10030E3
-:0401180000D20031E0
-:0401190000D30031DE
-:04011A0000D40031DC
-:04011B0000D50031DA
-:04011C0000D60031D8
-:04011D0000D70032D5
-:04011E0000D80032D3
-:04011F0000D90032D1
-:0401200000DA0032CF
-:0401210000DB0033CC
-:0401220000DC0033CA
-:0401230000DD0033C8
-:0401240000DE0033C6
-:0401250000DF0034C3
-:0401260000E00034C1
-:0401270000E10034BF
-:0401280000E20034BD
-:0401290000E30034BB
-:04012A0000E40035B8
-:04012B0000E50035B6
-:04012C0000E60035B4
-:04012D0000E70035B2
-:04012E0000E80036AF
-:04012F0000E90036AD
-:0401300000EA0036AB
-:0401310000EB0036A9
-:0401320000EC0036A7
-:0401330000ED0037A4
-:0401340000EE0037A2
-:0401350000EF0037A0
-:0401360000F000379E
-:0401370000F100389B
-:0401380000F2003899
-:0401390000F3003897
-:04013A0000F4003895
-:04013B0000F5003893
-:04013C0000F6003990
-:04013D0000F700398E
-:04013E0000F700398D
-:04013F0000F800398B
-:0401400000BB002BD5
-:0401410000BC002BD3
-:0401420000BD002CD0
-:0401430000BE002CCE
-:0401440000BF002CCC
-:0401450000C0002CCA
-:0401460000C1002DC7
-:0401470000C2002DC5
-:0401480000C3002DC3
-:0401490000C4002DC1
-:04014A0000C5002DBF
-:04014B0000C6002EBC
-:04014C0000C7002EBA
-:04014D0000C8002EB8
-:04014E0000C9002EB6
-:04014F0000CA002FB3
-:0401500000CB002FB1
-:0401510000CC002FAF
-:0401520000CD002FAD
-:0401530000CE002FAB
-:0401540000CF0030A8
-:0401550000D00030A6
-:0401560000D10030A4
-:0401570000D10030A3
-:0401580000D20031A0
-:0401590000D300319E
-:04015A0000D400319C
-:04015B0000D500319A
-:04015C0000D6003198
-:04015D0000D7003295
-:04015E0000D8003293
-:04015F0000D9003291
-:0401600000DA00328F
-:0401610000DB00338C
-:0401620000DC00338A
-:0401630000DD003388
-:0401640000DE003386
-:0401650000DF003483
-:0401660000E0003481
-:0401670000E100347F
-:0401680000E200347D
-:0401690000E300347B
-:04016A0000E4003578
-:04016B0000E5003576
-:04016C0000E6003574
-:04016D0000E7003572
-:04016E0000E800366F
-:04016F0000E900366D
-:0401700000EA00366B
-:0401710000EB003669
-:0401720000EC003667
-:0401730000ED003764
-:0401740000EE003762
-:0401750000EF003760
-:0401760000F000375E
-:0401770000F100385B
-:0401780000F2003859
-:0401790000F3003857
-:04017A0000F4003855
-:04017B0000F5003853
-:04017C0000F6003950
-:04017D0000F700394E
-:04017E0000F700394D
-:04017F0000F800394B
-:0401800000BB002B95
-:0401810000BC002B93
-:0401820000BD002C90
-:0401830000BE002C8E
-:0401840000BF002C8C
-:0401850000C0002C8A
-:0401860000C1002D87
-:0401870000C2002D85
-:0401880000C3002D83
-:0401890000C4002D81
-:04018A0000C5002D7F
-:04018B0000C6002E7C
-:04018C0000C7002E7A
-:04018D0000C8002E78
-:04018E0000C9002E76
-:04018F0000CA002F73
-:0401900000CB002F71
-:0401910000CC002F6F
-:0401920000CD002F6D
-:0401930000CE002F6B
-:0401940000CF003068
-:0401950000D0003066
-:0401960000D1003064
-:0401970000D1003063
-:0401980000D2003160
-:0401990000D300315E
-:04019A0000D400315C
-:04019B0000D500315A
-:04019C0000D6003158
-:04019D0000D7003255
-:04019E0000D8003253
-:04019F0000D9003251
-:0401A00000DA00324F
-:0401A10000DB00334C
-:0401A20000DC00334A
-:0401A30000DD003348
-:0401A40000DE003346
-:0401A50000DF003443
-:0401A60000E0003441
-:0401A70000E100343F
-:0401A80000E200343D
-:0401A90000E300343B
-:0401AA0000E4003538
-:0401AB0000E5003536
-:0401AC0000E6003534
-:0401AD0000E7003532
-:0401AE0000E800362F
-:0401AF0000E900362D
-:0401B00000EA00362B
-:0401B10000EB003629
-:0401B20000EC003627
-:0401B30000ED003724
-:0401B40000EE003722
-:0401B50000EF003720
-:0401B60000F000371E
-:0401B70000F100381B
-:0401B80000F2003819
-:0401B90000F3003817
-:0401BA0000F4003815
-:0401BB0000F5003813
-:0401BC0000F6003910
-:0401BD0000F700390E
-:0401BE0000F700390D
-:0401BF0000F800390B
-:0401C00000BB002B55
-:0401C10000BC002B53
-:0401C20000BD002C50
-:0401C30000BE002C4E
-:0401C40000BF002C4C
-:0401C50000C0002C4A
-:0401C60000C1002D47
-:0401C70000C2002D45
-:0401C80000C3002D43
-:0401C90000C4002D41
-:0401CA0000C5002D3F
-:0401CB0000C6002E3C
-:0401CC0000C7002E3A
-:0401CD0000C8002E38
-:0401CE0000C9002E36
-:0401CF0000CA002F33
-:0401D00000CB002F31
-:0401D10000CC002F2F
-:0401D20000CD002F2D
-:0401D30000CE002F2B
-:0401D40000CF003028
-:0401D50000D0003026
-:0401D60000D1003024
-:0401D70000D1003023
-:0401D80000D2003120
-:0401D90000D300311E
-:0401DA0000D400311C
-:0401DB0000D500311A
-:0401DC0000D6003118
-:0401DD0000D7003215
-:0401DE0000D8003213
-:0401DF0000D9003211
-:0401E00000DA00320F
-:0401E10000DB00330C
-:0401E20000DC00330A
-:0401E30000DD003308
-:0401E40000DE003306
-:0401E50000DF003403
-:0401E60000E0003401
-:0401E70000E10034FF
-:0401E80000E20034FD
-:0401E90000E30034FB
-:0401EA0000E40035F8
-:0401EB0000E50035F6
-:0401EC0000E60035F4
-:0401ED0000E70035F2
-:0401EE0000E80036EF
-:0401EF0000E90036ED
-:0401F00000EA0036EB
-:0401F10000EB0036E9
-:0401F20000EC0036E7
-:0401F30000ED0037E4
-:0401F40000EE0037E2
-:0401F50000EF0037E0
-:0401F60000F00037DE
-:0401F70000F10038DB
-:0401F80000F20038D9
-:0401F90000F30038D7
-:0401FA0000F40038D5
-:0401FB0000F50038D3
-:0401FC0000F60039D0
-:0401FD0000F70039CE
-:0401FE0000F70039CD
-:0401FF0000F80039CB
-:0402000000BB002B14
-:0402010000BC002B12
-:0402020000BD002C0F
-:0402030000BE002C0D
-:0402040000BF002C0B
-:0402050000C0002C09
-:0402060000C1002D06
-:0402070000C2002D04
-:0402080000C3002D02
-:0402090000C4002D00
-:04020A0000C5002DFE
-:04020B0000C6002EFB
-:04020C0000C7002EF9
-:04020D0000C8002EF7
-:04020E0000C9002EF5
-:04020F0000CA002FF2
-:0402100000CB002FF0
-:0402110000CC002FEE
-:0402120000CD002FEC
-:0402130000CE002FEA
-:0402140000CF0030E7
-:0402150000D00030E5
-:0402160000D10030E3
-:0402170000D10030E2
-:0402180000D20031DF
-:0402190000D30031DD
-:04021A0000D40031DB
-:04021B0000D50031D9
-:04021C0000D60031D7
-:04021D0000D70032D4
-:04021E0000D80032D2
-:04021F0000D90032D0
-:0402200000DA0032CE
-:0402210000DB0033CB
-:0402220000DC0033C9
-:0402230000DD0033C7
-:0402240000DE0033C5
-:0402250000DF0034C2
-:0402260000E00034C0
-:0402270000E10034BE
-:0402280000E20034BC
-:0402290000E30034BA
-:04022A0000E40035B7
-:04022B0000E50035B5
-:04022C0000E60035B3
-:04022D0000E70035B1
-:04022E0000E80036AE
-:04022F0000E90036AC
-:0402300000EA0036AA
-:0402310000EB0036A8
-:0402320000EC0036A6
-:0402330000ED0037A3
-:0402340000EE0037A1
-:0402350000EF00379F
-:0402360000F000379D
-:0402370000F100389A
-:0402380000F2003898
-:0402390000F3003896
-:04023A0000F4003894
-:04023B0000F5003892
-:04023C0000F600398F
-:04023D0000F700398D
-:04023E0000F700398C
-:04023F0000F800398A
-:0402400000BB002BD4
-:0402410000BC002BD2
-:0402420000BD002CCF
-:0402430000BE002CCD
-:0402440000BF002CCB
-:0402450000C0002CC9
-:0402460000C1002DC6
-:0402470000C2002DC4
-:0402480000C3002DC2
-:0402490000C4002DC0
-:04024A0000C5002DBE
-:04024B0000C6002EBB
-:04024C0000C7002EB9
-:04024D0000C8002EB7
-:04024E0000C9002EB5
-:04024F0000CA002FB2
-:0402500000CB002FB0
-:0402510000CC002FAE
-:0402520000CD002FAC
-:0402530000CE002FAA
-:0402540000CF0030A7
-:0402550000D00030A5
-:0402560000D10030A3
-:0402570000D10030A2
-:0402580000D200319F
-:0402590000D300319D
-:04025A0000D400319B
-:04025B0000D5003199
-:04025C0000D6003197
-:04025D0000D7003294
-:04025E0000D8003292
-:04025F0000D9003290
-:0402600000DA00328E
-:0402610000DB00338B
-:0402620000DC003389
-:0402630000DD003387
-:0402640000DE003385
-:0402650000DF003482
-:0402660000E0003480
-:0402670000E100347E
-:0402680000E200347C
-:0402690000E300347A
-:04026A0000E4003577
-:04026B0000E5003575
-:04026C0000E6003573
-:04026D0000E7003571
-:04026E0000E800366E
-:04026F0000E900366C
-:0402700000EA00366A
-:0402710000EB003668
-:0402720000EC003666
-:0402730000ED003763
-:0402740000EE003761
-:0402750000EF00375F
-:0402760000F000375D
-:0402770000F100385A
-:0402780000F2003858
-:0402790000F3003856
-:04027A0000F4003854
-:04027B0000F5003852
-:04027C0000F600394F
-:04027D0000F700394D
-:04027E0000F700394C
-:04027F0000F800394A
-:0402800000BB002B94
-:0402810000BC002B92
-:0402820000BD002C8F
-:0402830000BE002C8D
-:0402840000BF002C8B
-:0402850000C0002C89
-:0402860000C1002D86
-:0402870000C2002D84
-:0402880000C3002D82
-:0402890000C4002D80
-:04028A0000C5002D7E
-:04028B0000C6002E7B
-:04028C0000C7002E79
-:04028D0000C8002E77
-:04028E0000C9002E75
-:04028F0000CA002F72
-:0402900000CB002F70
-:0402910000CC002F6E
-:0402920000CD002F6C
-:0402930000CE002F6A
-:0402940000CF003067
-:0402950000D0003065
-:0402960000D1003063
-:0402970000D1003062
-:0402980000D200315F
-:0402990000D300315D
-:04029A0000D400315B
-:04029B0000D5003159
-:04029C0000D6003157
-:04029D0000D7003254
-:04029E0000D8003252
-:04029F0000D9003250
-:0402A00000DA00324E
-:0402A10000DB00334B
-:0402A20000DC003349
-:0402A30000DD003347
-:0402A40000DE003345
-:0402A50000DF003442
-:0402A60000E0003440
-:0402A70000E100343E
-:0402A80000E200343C
-:0402A90000E300343A
-:0402AA0000E4003537
-:0402AB0000E5003535
-:0402AC0000E6003533
-:0402AD0000E7003531
-:0402AE0000E800362E
-:0402AF0000E900362C
-:0402B00000EA00362A
-:0402B10000EB003628
-:0402B20000EC003626
-:0402B30000ED003723
-:0402B40000EE003721
-:0402B50000EF00371F
-:0402B60000F000371D
-:0402B70000F100381A
-:0402B80000F2003818
-:0402B90000F3003816
-:0402BA0000F4003814
-:0402BB0000F5003812
-:0402BC0000F600390F
-:0402BD0000F700390D
-:0402BE0000F700390C
-:0402BF0000F800390A
-:0402C00000BB002B54
-:0402C10000BC002B52
-:0402C20000BD002C4F
-:0402C30000BE002C4D
-:0402C40000BF002C4B
-:0402C50000C0002C49
-:0402C60000C1002D46
-:0402C70000C2002D44
-:0402C80000C3002D42
-:0402C90000C4002D40
-:0402CA0000C5002D3E
-:0402CB0000C6002E3B
-:0402CC0000C7002E39
-:0402CD0000C8002E37
-:0402CE0000C9002E35
-:0402CF0000CA002F32
-:0402D00000CB002F30
-:0402D10000CC002F2E
-:0402D20000CD002F2C
-:0402D30000CE002F2A
-:0402D40000CF003027
-:0402D50000D0003025
-:0402D60000D1003023
-:0402D70000D1003022
-:0402D80000D200311F
-:0402D90000D300311D
-:0402DA0000D400311B
-:0402DB0000D5003119
-:0402DC0000D6003117
-:0402DD0000D7003214
-:0402DE0000D8003212
-:0402DF0000D9003210
-:0402E00000DA00320E
-:0402E10000DB00330B
-:0402E20000DC003309
-:0402E30000DD003307
-:0402E40000DE003305
-:0402E50000DF003402
-:0402E60000E0003400
-:0402E70000E10034FE
-:0402E80000E20034FC
-:0402E90000E30034FA
-:0402EA0000E40035F7
-:0402EB0000E50035F5
-:0402EC0000E60035F3
-:0402ED0000E70035F1
-:0402EE0000E80036EE
-:0402EF0000E90036EC
-:0402F00000EA0036EA
-:0402F10000EB0036E8
-:0402F20000EC0036E6
-:0402F30000ED0037E3
-:0402F40000EE0037E1
-:0402F50000EF0037DF
-:0402F60000F00037DD
-:0402F70000F10038DA
-:0402F80000F20038D8
-:0402F90000F30038D6
-:0402FA0000F40038D4
-:0402FB0000F50038D2
-:0402FC0000F60039CF
-:0402FD0000F70039CD
-:0402FE0000F70039CC
-:0402FF0000F80039CA
-:0403000000BB002B13
-:0403010000BC002B11
-:0403020000BD002C0E
-:0403030000BE002C0C
-:0403040000BF002C0A
-:0403050000C0002C08
-:0403060000C1002D05
-:0403070000C2002D03
-:0403080000C3002D01
-:0403090000C4002DFF
-:04030A0000C5002DFD
-:04030B0000C6002EFA
-:04030C0000C7002EF8
-:04030D0000C8002EF6
-:04030E0000C9002EF4
-:04030F0000CA002FF1
-:0403100000CB002FEF
-:0403110000CC002FED
-:0403120000CD002FEB
-:0403130000CE002FE9
-:0403140000CF0030E6
-:0403150000D00030E4
-:0403160000D10030E2
-:0403170000D10030E1
-:0403180000D20031DE
-:0403190000D30031DC
-:04031A0000D40031DA
-:04031B0000D50031D8
-:04031C0000D60031D6
-:04031D0000D70032D3
-:04031E0000D80032D1
-:04031F0000D90032CF
-:0403200000DA0032CD
-:0403210000DB0033CA
-:0403220000DC0033C8
-:0403230000DD0033C6
-:0403240000DE0033C4
-:0403250000DF0034C1
-:0403260000E00034BF
-:0403270000E10034BD
-:0403280000E20034BB
-:0403290000E30034B9
-:04032A0000E40035B6
-:04032B0000E50035B4
-:04032C0000E60035B2
-:04032D0000E70035B0
-:04032E0000E80036AD
-:04032F0000E90036AB
-:0403300000EA0036A9
-:0403310000EB0036A7
-:0403320000EC0036A5
-:0403330000ED0037A2
-:0403340000EE0037A0
-:0403350000EF00379E
-:0403360000F000379C
-:0403370000F1003899
-:0403380000F2003897
-:0403390000F3003895
-:04033A0000F4003893
-:04033B0000F5003891
-:04033C0000F600398E
-:04033D0000F700398C
-:04033E0000F700398B
-:04033F0000F8003989
-:0403400000BB002BD3
-:0403410000BC002BD1
-:0403420000BD002CCE
-:0403430000BE002CCC
-:0403440000BF002CCA
-:0403450000C0002CC8
-:0403460000C1002DC5
-:0403470000C2002DC3
-:0403480000C3002DC1
-:0403490000C4002DBF
-:04034A0000C5002DBD
-:04034B0000C6002EBA
-:04034C0000C7002EB8
-:04034D0000C8002EB6
-:04034E0000C9002EB4
-:04034F0000CA002FB1
-:0403500000CB002FAF
-:0403510000CC002FAD
-:0403520000CD002FAB
-:0403530000CE002FA9
-:0403540000CF0030A6
-:0403550000D00030A4
-:0403560000D10030A2
-:0403570000D10030A1
-:0403580000D200319E
-:0403590000D300319C
-:04035A0000D400319A
-:04035B0000D5003198
-:04035C0000D6003196
-:04035D0000D7003293
-:04035E0000D8003291
-:04035F0000D900328F
-:0403600000DA00328D
-:0403610000DB00338A
-:0403620000DC003388
-:0403630000DD003386
-:0403640000DE003384
-:0403650000DF003481
-:0403660000E000347F
-:0403670000E100347D
-:0403680000E200347B
-:0403690000E3003479
-:04036A0000E4003576
-:04036B0000E5003574
-:04036C0000E6003572
-:04036D0000E7003570
-:04036E0000E800366D
-:04036F0000E900366B
-:0403700000EA003669
-:0403710000EB003667
-:0403720000EC003665
-:0403730000ED003762
-:0403740000EE003760
-:0403750000EF00375E
-:0403760000F000375C
-:0403770000F1003859
-:0403780000F2003857
-:0403790000F3003855
-:04037A0000F4003853
-:04037B0000F5003851
-:04037C0000F600394E
-:04037D0000F700394C
-:04037E0000F700394B
-:04037F0000F8003949
-:0403800000BB002B93
-:0403810000BC002B91
-:0403820000BD002C8E
-:0403830000BE002C8C
-:0403840000BF002C8A
-:0403850000C0002C88
-:0403860000C1002D85
-:0403870000C2002D83
-:0403880000C3002D81
-:0403890000C4002D7F
-:04038A0000C5002D7D
-:04038B0000C6002E7A
-:04038C0000C7002E78
-:04038D0000C8002E76
-:04038E0000C9002E74
-:04038F0000CA002F71
-:0403900000CB002F6F
-:0403910000CC002F6D
-:0403920000CD002F6B
-:0403930000CE002F69
-:0403940000CF003066
-:0403950000D0003064
-:0403960000D1003062
-:0403970000D1003061
-:0403980000D200315E
-:0403990000D300315C
-:04039A0000D400315A
-:04039B0000D5003158
-:04039C0000D6003156
-:04039D0000D7003253
-:04039E0000D8003251
-:04039F0000D900324F
-:0403A00000DA00324D
-:0403A10000DB00334A
-:0403A20000DC003348
-:0403A30000DD003346
-:0403A40000DE003344
-:0403A50000DF003441
-:0403A60000E000343F
-:0403A70000E100343D
-:0403A80000E200343B
-:0403A90000E3003439
-:0403AA0000E4003536
-:0403AB0000E5003534
-:0403AC0000E6003532
-:0403AD0000E7003530
-:0403AE0000E800362D
-:0403AF0000E900362B
-:0403B00000EA003629
-:0403B10000EB003627
-:0403B20000EC003625
-:0403B30000ED003722
-:0403B40000EE003720
-:0403B50000EF00371E
-:0403B60000F000371C
-:0403B70000F1003819
-:0403B80000F2003817
-:0403B90000F3003815
-:0403BA0000F4003813
-:0403BB0000F5003811
-:0403BC0000F600390E
-:0403BD0000F700390C
-:0403BE0000F700390B
-:0403BF0000F8003909
-:0403C00000BB002B53
-:0403C10000BC002B51
-:0403C20000BD002C4E
-:0403C30000BE002C4C
-:0403C40000BF002C4A
-:0403C50000C0002C48
-:0403C60000C1002D45
-:0403C70000C2002D43
-:0403C80000C3002D41
-:0403C90000C4002D3F
-:0403CA0000C5002D3D
-:0403CB0000C6002E3A
-:0403CC0000C7002E38
-:0403CD0000C8002E36
-:0403CE0000C9002E34
-:0403CF0000CA002F31
-:0403D00000CB002F2F
-:0403D10000CC002F2D
-:0403D20000CD002F2B
-:0403D30000CE002F29
-:0403D40000CF003026
-:0403D50000D0003024
-:0403D60000D1003022
-:0403D70000D1003021
-:0403D80000D200311E
-:0403D90000D300311C
-:0403DA0000D400311A
-:0403DB0000D5003118
-:0403DC0000D6003116
-:0403DD0000D7003213
-:0403DE0000D8003211
-:0403DF0000D900320F
-:0403E00000DA00320D
-:0403E10000DB00330A
-:0403E20000DC003308
-:0403E30000DD003306
-:0403E40000DE003304
-:0403E50000DF003401
-:0403E60000E00034FF
-:0403E70000E10034FD
-:0403E80000E20034FB
-:0403E90000E30034F9
-:0403EA0000E40035F6
-:0403EB0000E50035F4
-:0403EC0000E60035F2
-:0403ED0000E70035F0
-:0403EE0000E80036ED
-:0403EF0000E90036EB
-:0403F00000EA0036E9
-:0403F10000EB0036E7
-:0403F20000EC0036E5
-:0403F30000ED0037E2
-:0403F40000EE0037E0
-:0403F50000EF0037DE
-:0403F60000F00037DC
-:0403F70000F10038D9
-:0403F80000F20038D7
-:0403F90000F30038D5
-:0403FA0000F40038D3
-:0403FB0000F50038D1
-:0403FC0000F60039CE
-:0403FD0000F70039CC
-:0403FE0000F70039CB
-:0403FF0000F80039C9
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_13.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_13.hex
index 8758268d673b3c2ac484ae49f54783e85632b64d..1bcb52ae10342026f6cb4dec3530f44ff83988be 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_13.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_13.hex
@@ -62,964 +62,4 @@
 :04003D0000F5003D8D
 :04003E0000F6003D8B
 :04003F0000F7003E88
-:0400400000BA002ED4
-:0400410000BB002FD1
-:0400420000BC002FCF
-:0400430000BD002FCD
-:0400440000BE002FCB
-:0400450000BF0030C8
-:0400460000C00030C6
-:0400470000C10030C4
-:0400480000C20030C2
-:0400490000C30031BF
-:04004A0000C40031BD
-:04004B0000C50031BB
-:04004C0000C60031B9
-:04004D0000C70032B6
-:04004E0000C80032B4
-:04004F0000C90032B2
-:0400500000CA0032B0
-:0400510000CB0033AD
-:0400520000CC0033AB
-:0400530000CD0033A9
-:0400540000CE0033A7
-:0400550000CF0034A4
-:0400560000D00034A2
-:0400570000D10034A0
-:0400580000D200349E
-:0400590000D300349C
-:04005A0000D4003599
-:04005B0000D4003598
-:04005C0000D5003596
-:04005D0000D6003594
-:04005E0000D7003691
-:04005F0000D800368F
-:0400600000D900368D
-:0400610000DA00368B
-:0400620000DB003788
-:0400630000DC003786
-:0400640000DD003784
-:0400650000DE003782
-:0400660000DF00387F
-:0400670000E000387D
-:0400680000E100387B
-:0400690000E2003879
-:04006A0000E3003976
-:04006B0000E4003974
-:04006C0000E5003972
-:04006D0000E6003970
-:04006E0000E7003A6D
-:04006F0000E8003A6B
-:0400700000E9003A69
-:0400710000EA003A67
-:0400720000EB003B64
-:0400730000EC003B62
-:0400740000ED003B60
-:0400750000EE003B5E
-:0400760000EF003C5B
-:0400770000F0003C59
-:0400780000F1003C57
-:0400790000F2003C55
-:04007A0000F3003C53
-:04007B0000F4003D50
-:04007C0000F5003D4E
-:04007D0000F5003D4D
-:04007E0000F6003D4B
-:04007F0000F7003E48
-:0400800000BA002E94
-:0400810000BB002F91
-:0400820000BC002F8F
-:0400830000BD002F8D
-:0400840000BE002F8B
-:0400850000BF003088
-:0400860000C0003086
-:0400870000C1003084
-:0400880000C2003082
-:0400890000C300317F
-:04008A0000C400317D
-:04008B0000C500317B
-:04008C0000C6003179
-:04008D0000C7003276
-:04008E0000C8003274
-:04008F0000C9003272
-:0400900000CA003270
-:0400910000CB00336D
-:0400920000CC00336B
-:0400930000CD003369
-:0400940000CE003367
-:0400950000CF003464
-:0400960000D0003462
-:0400970000D1003460
-:0400980000D200345E
-:0400990000D300345C
-:04009A0000D4003559
-:04009B0000D4003558
-:04009C0000D5003556
-:04009D0000D6003554
-:04009E0000D7003651
-:04009F0000D800364F
-:0400A00000D900364D
-:0400A10000DA00364B
-:0400A20000DB003748
-:0400A30000DC003746
-:0400A40000DD003744
-:0400A50000DE003742
-:0400A60000DF00383F
-:0400A70000E000383D
-:0400A80000E100383B
-:0400A90000E2003839
-:0400AA0000E3003936
-:0400AB0000E4003934
-:0400AC0000E5003932
-:0400AD0000E6003930
-:0400AE0000E7003A2D
-:0400AF0000E8003A2B
-:0400B00000E9003A29
-:0400B10000EA003A27
-:0400B20000EB003B24
-:0400B30000EC003B22
-:0400B40000ED003B20
-:0400B50000EE003B1E
-:0400B60000EF003C1B
-:0400B70000F0003C19
-:0400B80000F1003C17
-:0400B90000F2003C15
-:0400BA0000F3003C13
-:0400BB0000F4003D10
-:0400BC0000F5003D0E
-:0400BD0000F5003D0D
-:0400BE0000F6003D0B
-:0400BF0000F7003E08
-:0400C00000BA002E54
-:0400C10000BB002F51
-:0400C20000BC002F4F
-:0400C30000BD002F4D
-:0400C40000BE002F4B
-:0400C50000BF003048
-:0400C60000C0003046
-:0400C70000C1003044
-:0400C80000C2003042
-:0400C90000C300313F
-:0400CA0000C400313D
-:0400CB0000C500313B
-:0400CC0000C6003139
-:0400CD0000C7003236
-:0400CE0000C8003234
-:0400CF0000C9003232
-:0400D00000CA003230
-:0400D10000CB00332D
-:0400D20000CC00332B
-:0400D30000CD003329
-:0400D40000CE003327
-:0400D50000CF003424
-:0400D60000D0003422
-:0400D70000D1003420
-:0400D80000D200341E
-:0400D90000D300341C
-:0400DA0000D4003519
-:0400DB0000D4003518
-:0400DC0000D5003516
-:0400DD0000D6003514
-:0400DE0000D7003611
-:0400DF0000D800360F
-:0400E00000D900360D
-:0400E10000DA00360B
-:0400E20000DB003708
-:0400E30000DC003706
-:0400E40000DD003704
-:0400E50000DE003702
-:0400E60000DF0038FF
-:0400E70000E00038FD
-:0400E80000E10038FB
-:0400E90000E20038F9
-:0400EA0000E30039F6
-:0400EB0000E40039F4
-:0400EC0000E50039F2
-:0400ED0000E60039F0
-:0400EE0000E7003AED
-:0400EF0000E8003AEB
-:0400F00000E9003AE9
-:0400F10000EA003AE7
-:0400F20000EB003BE4
-:0400F30000EC003BE2
-:0400F40000ED003BE0
-:0400F50000EE003BDE
-:0400F60000EF003CDB
-:0400F70000F0003CD9
-:0400F80000F1003CD7
-:0400F90000F2003CD5
-:0400FA0000F3003CD3
-:0400FB0000F4003DD0
-:0400FC0000F5003DCE
-:0400FD0000F5003DCD
-:0400FE0000F6003DCB
-:0400FF0000F7003EC8
-:0401000000BA002E13
-:0401010000BB002F10
-:0401020000BC002F0E
-:0401030000BD002F0C
-:0401040000BE002F0A
-:0401050000BF003007
-:0401060000C0003005
-:0401070000C1003003
-:0401080000C2003001
-:0401090000C30031FE
-:04010A0000C40031FC
-:04010B0000C50031FA
-:04010C0000C60031F8
-:04010D0000C70032F5
-:04010E0000C80032F3
-:04010F0000C90032F1
-:0401100000CA0032EF
-:0401110000CB0033EC
-:0401120000CC0033EA
-:0401130000CD0033E8
-:0401140000CE0033E6
-:0401150000CF0034E3
-:0401160000D00034E1
-:0401170000D10034DF
-:0401180000D20034DD
-:0401190000D30034DB
-:04011A0000D40035D8
-:04011B0000D40035D7
-:04011C0000D50035D5
-:04011D0000D60035D3
-:04011E0000D70036D0
-:04011F0000D80036CE
-:0401200000D90036CC
-:0401210000DA0036CA
-:0401220000DB0037C7
-:0401230000DC0037C5
-:0401240000DD0037C3
-:0401250000DE0037C1
-:0401260000DF0038BE
-:0401270000E00038BC
-:0401280000E10038BA
-:0401290000E20038B8
-:04012A0000E30039B5
-:04012B0000E40039B3
-:04012C0000E50039B1
-:04012D0000E60039AF
-:04012E0000E7003AAC
-:04012F0000E8003AAA
-:0401300000E9003AA8
-:0401310000EA003AA6
-:0401320000EB003BA3
-:0401330000EC003BA1
-:0401340000ED003B9F
-:0401350000EE003B9D
-:0401360000EF003C9A
-:0401370000F0003C98
-:0401380000F1003C96
-:0401390000F2003C94
-:04013A0000F3003C92
-:04013B0000F4003D8F
-:04013C0000F5003D8D
-:04013D0000F5003D8C
-:04013E0000F6003D8A
-:04013F0000F7003E87
-:0401400000BA002ED3
-:0401410000BB002FD0
-:0401420000BC002FCE
-:0401430000BD002FCC
-:0401440000BE002FCA
-:0401450000BF0030C7
-:0401460000C00030C5
-:0401470000C10030C3
-:0401480000C20030C1
-:0401490000C30031BE
-:04014A0000C40031BC
-:04014B0000C50031BA
-:04014C0000C60031B8
-:04014D0000C70032B5
-:04014E0000C80032B3
-:04014F0000C90032B1
-:0401500000CA0032AF
-:0401510000CB0033AC
-:0401520000CC0033AA
-:0401530000CD0033A8
-:0401540000CE0033A6
-:0401550000CF0034A3
-:0401560000D00034A1
-:0401570000D100349F
-:0401580000D200349D
-:0401590000D300349B
-:04015A0000D4003598
-:04015B0000D4003597
-:04015C0000D5003595
-:04015D0000D6003593
-:04015E0000D7003690
-:04015F0000D800368E
-:0401600000D900368C
-:0401610000DA00368A
-:0401620000DB003787
-:0401630000DC003785
-:0401640000DD003783
-:0401650000DE003781
-:0401660000DF00387E
-:0401670000E000387C
-:0401680000E100387A
-:0401690000E2003878
-:04016A0000E3003975
-:04016B0000E4003973
-:04016C0000E5003971
-:04016D0000E600396F
-:04016E0000E7003A6C
-:04016F0000E8003A6A
-:0401700000E9003A68
-:0401710000EA003A66
-:0401720000EB003B63
-:0401730000EC003B61
-:0401740000ED003B5F
-:0401750000EE003B5D
-:0401760000EF003C5A
-:0401770000F0003C58
-:0401780000F1003C56
-:0401790000F2003C54
-:04017A0000F3003C52
-:04017B0000F4003D4F
-:04017C0000F5003D4D
-:04017D0000F5003D4C
-:04017E0000F6003D4A
-:04017F0000F7003E47
-:0401800000BA002E93
-:0401810000BB002F90
-:0401820000BC002F8E
-:0401830000BD002F8C
-:0401840000BE002F8A
-:0401850000BF003087
-:0401860000C0003085
-:0401870000C1003083
-:0401880000C2003081
-:0401890000C300317E
-:04018A0000C400317C
-:04018B0000C500317A
-:04018C0000C6003178
-:04018D0000C7003275
-:04018E0000C8003273
-:04018F0000C9003271
-:0401900000CA00326F
-:0401910000CB00336C
-:0401920000CC00336A
-:0401930000CD003368
-:0401940000CE003366
-:0401950000CF003463
-:0401960000D0003461
-:0401970000D100345F
-:0401980000D200345D
-:0401990000D300345B
-:04019A0000D4003558
-:04019B0000D4003557
-:04019C0000D5003555
-:04019D0000D6003553
-:04019E0000D7003650
-:04019F0000D800364E
-:0401A00000D900364C
-:0401A10000DA00364A
-:0401A20000DB003747
-:0401A30000DC003745
-:0401A40000DD003743
-:0401A50000DE003741
-:0401A60000DF00383E
-:0401A70000E000383C
-:0401A80000E100383A
-:0401A90000E2003838
-:0401AA0000E3003935
-:0401AB0000E4003933
-:0401AC0000E5003931
-:0401AD0000E600392F
-:0401AE0000E7003A2C
-:0401AF0000E8003A2A
-:0401B00000E9003A28
-:0401B10000EA003A26
-:0401B20000EB003B23
-:0401B30000EC003B21
-:0401B40000ED003B1F
-:0401B50000EE003B1D
-:0401B60000EF003C1A
-:0401B70000F0003C18
-:0401B80000F1003C16
-:0401B90000F2003C14
-:0401BA0000F3003C12
-:0401BB0000F4003D0F
-:0401BC0000F5003D0D
-:0401BD0000F5003D0C
-:0401BE0000F6003D0A
-:0401BF0000F7003E07
-:0401C00000BA002E53
-:0401C10000BB002F50
-:0401C20000BC002F4E
-:0401C30000BD002F4C
-:0401C40000BE002F4A
-:0401C50000BF003047
-:0401C60000C0003045
-:0401C70000C1003043
-:0401C80000C2003041
-:0401C90000C300313E
-:0401CA0000C400313C
-:0401CB0000C500313A
-:0401CC0000C6003138
-:0401CD0000C7003235
-:0401CE0000C8003233
-:0401CF0000C9003231
-:0401D00000CA00322F
-:0401D10000CB00332C
-:0401D20000CC00332A
-:0401D30000CD003328
-:0401D40000CE003326
-:0401D50000CF003423
-:0401D60000D0003421
-:0401D70000D100341F
-:0401D80000D200341D
-:0401D90000D300341B
-:0401DA0000D4003518
-:0401DB0000D4003517
-:0401DC0000D5003515
-:0401DD0000D6003513
-:0401DE0000D7003610
-:0401DF0000D800360E
-:0401E00000D900360C
-:0401E10000DA00360A
-:0401E20000DB003707
-:0401E30000DC003705
-:0401E40000DD003703
-:0401E50000DE003701
-:0401E60000DF0038FE
-:0401E70000E00038FC
-:0401E80000E10038FA
-:0401E90000E20038F8
-:0401EA0000E30039F5
-:0401EB0000E40039F3
-:0401EC0000E50039F1
-:0401ED0000E60039EF
-:0401EE0000E7003AEC
-:0401EF0000E8003AEA
-:0401F00000E9003AE8
-:0401F10000EA003AE6
-:0401F20000EB003BE3
-:0401F30000EC003BE1
-:0401F40000ED003BDF
-:0401F50000EE003BDD
-:0401F60000EF003CDA
-:0401F70000F0003CD8
-:0401F80000F1003CD6
-:0401F90000F2003CD4
-:0401FA0000F3003CD2
-:0401FB0000F4003DCF
-:0401FC0000F5003DCD
-:0401FD0000F5003DCC
-:0401FE0000F6003DCA
-:0401FF0000F7003EC7
-:0402000000BA002E12
-:0402010000BB002F0F
-:0402020000BC002F0D
-:0402030000BD002F0B
-:0402040000BE002F09
-:0402050000BF003006
-:0402060000C0003004
-:0402070000C1003002
-:0402080000C2003000
-:0402090000C30031FD
-:04020A0000C40031FB
-:04020B0000C50031F9
-:04020C0000C60031F7
-:04020D0000C70032F4
-:04020E0000C80032F2
-:04020F0000C90032F0
-:0402100000CA0032EE
-:0402110000CB0033EB
-:0402120000CC0033E9
-:0402130000CD0033E7
-:0402140000CE0033E5
-:0402150000CF0034E2
-:0402160000D00034E0
-:0402170000D10034DE
-:0402180000D20034DC
-:0402190000D30034DA
-:04021A0000D40035D7
-:04021B0000D40035D6
-:04021C0000D50035D4
-:04021D0000D60035D2
-:04021E0000D70036CF
-:04021F0000D80036CD
-:0402200000D90036CB
-:0402210000DA0036C9
-:0402220000DB0037C6
-:0402230000DC0037C4
-:0402240000DD0037C2
-:0402250000DE0037C0
-:0402260000DF0038BD
-:0402270000E00038BB
-:0402280000E10038B9
-:0402290000E20038B7
-:04022A0000E30039B4
-:04022B0000E40039B2
-:04022C0000E50039B0
-:04022D0000E60039AE
-:04022E0000E7003AAB
-:04022F0000E8003AA9
-:0402300000E9003AA7
-:0402310000EA003AA5
-:0402320000EB003BA2
-:0402330000EC003BA0
-:0402340000ED003B9E
-:0402350000EE003B9C
-:0402360000EF003C99
-:0402370000F0003C97
-:0402380000F1003C95
-:0402390000F2003C93
-:04023A0000F3003C91
-:04023B0000F4003D8E
-:04023C0000F5003D8C
-:04023D0000F5003D8B
-:04023E0000F6003D89
-:04023F0000F7003E86
-:0402400000BA002ED2
-:0402410000BB002FCF
-:0402420000BC002FCD
-:0402430000BD002FCB
-:0402440000BE002FC9
-:0402450000BF0030C6
-:0402460000C00030C4
-:0402470000C10030C2
-:0402480000C20030C0
-:0402490000C30031BD
-:04024A0000C40031BB
-:04024B0000C50031B9
-:04024C0000C60031B7
-:04024D0000C70032B4
-:04024E0000C80032B2
-:04024F0000C90032B0
-:0402500000CA0032AE
-:0402510000CB0033AB
-:0402520000CC0033A9
-:0402530000CD0033A7
-:0402540000CE0033A5
-:0402550000CF0034A2
-:0402560000D00034A0
-:0402570000D100349E
-:0402580000D200349C
-:0402590000D300349A
-:04025A0000D4003597
-:04025B0000D4003596
-:04025C0000D5003594
-:04025D0000D6003592
-:04025E0000D700368F
-:04025F0000D800368D
-:0402600000D900368B
-:0402610000DA003689
-:0402620000DB003786
-:0402630000DC003784
-:0402640000DD003782
-:0402650000DE003780
-:0402660000DF00387D
-:0402670000E000387B
-:0402680000E1003879
-:0402690000E2003877
-:04026A0000E3003974
-:04026B0000E4003972
-:04026C0000E5003970
-:04026D0000E600396E
-:04026E0000E7003A6B
-:04026F0000E8003A69
-:0402700000E9003A67
-:0402710000EA003A65
-:0402720000EB003B62
-:0402730000EC003B60
-:0402740000ED003B5E
-:0402750000EE003B5C
-:0402760000EF003C59
-:0402770000F0003C57
-:0402780000F1003C55
-:0402790000F2003C53
-:04027A0000F3003C51
-:04027B0000F4003D4E
-:04027C0000F5003D4C
-:04027D0000F5003D4B
-:04027E0000F6003D49
-:04027F0000F7003E46
-:0402800000BA002E92
-:0402810000BB002F8F
-:0402820000BC002F8D
-:0402830000BD002F8B
-:0402840000BE002F89
-:0402850000BF003086
-:0402860000C0003084
-:0402870000C1003082
-:0402880000C2003080
-:0402890000C300317D
-:04028A0000C400317B
-:04028B0000C5003179
-:04028C0000C6003177
-:04028D0000C7003274
-:04028E0000C8003272
-:04028F0000C9003270
-:0402900000CA00326E
-:0402910000CB00336B
-:0402920000CC003369
-:0402930000CD003367
-:0402940000CE003365
-:0402950000CF003462
-:0402960000D0003460
-:0402970000D100345E
-:0402980000D200345C
-:0402990000D300345A
-:04029A0000D4003557
-:04029B0000D4003556
-:04029C0000D5003554
-:04029D0000D6003552
-:04029E0000D700364F
-:04029F0000D800364D
-:0402A00000D900364B
-:0402A10000DA003649
-:0402A20000DB003746
-:0402A30000DC003744
-:0402A40000DD003742
-:0402A50000DE003740
-:0402A60000DF00383D
-:0402A70000E000383B
-:0402A80000E1003839
-:0402A90000E2003837
-:0402AA0000E3003934
-:0402AB0000E4003932
-:0402AC0000E5003930
-:0402AD0000E600392E
-:0402AE0000E7003A2B
-:0402AF0000E8003A29
-:0402B00000E9003A27
-:0402B10000EA003A25
-:0402B20000EB003B22
-:0402B30000EC003B20
-:0402B40000ED003B1E
-:0402B50000EE003B1C
-:0402B60000EF003C19
-:0402B70000F0003C17
-:0402B80000F1003C15
-:0402B90000F2003C13
-:0402BA0000F3003C11
-:0402BB0000F4003D0E
-:0402BC0000F5003D0C
-:0402BD0000F5003D0B
-:0402BE0000F6003D09
-:0402BF0000F7003E06
-:0402C00000BA002E52
-:0402C10000BB002F4F
-:0402C20000BC002F4D
-:0402C30000BD002F4B
-:0402C40000BE002F49
-:0402C50000BF003046
-:0402C60000C0003044
-:0402C70000C1003042
-:0402C80000C2003040
-:0402C90000C300313D
-:0402CA0000C400313B
-:0402CB0000C5003139
-:0402CC0000C6003137
-:0402CD0000C7003234
-:0402CE0000C8003232
-:0402CF0000C9003230
-:0402D00000CA00322E
-:0402D10000CB00332B
-:0402D20000CC003329
-:0402D30000CD003327
-:0402D40000CE003325
-:0402D50000CF003422
-:0402D60000D0003420
-:0402D70000D100341E
-:0402D80000D200341C
-:0402D90000D300341A
-:0402DA0000D4003517
-:0402DB0000D4003516
-:0402DC0000D5003514
-:0402DD0000D6003512
-:0402DE0000D700360F
-:0402DF0000D800360D
-:0402E00000D900360B
-:0402E10000DA003609
-:0402E20000DB003706
-:0402E30000DC003704
-:0402E40000DD003702
-:0402E50000DE003700
-:0402E60000DF0038FD
-:0402E70000E00038FB
-:0402E80000E10038F9
-:0402E90000E20038F7
-:0402EA0000E30039F4
-:0402EB0000E40039F2
-:0402EC0000E50039F0
-:0402ED0000E60039EE
-:0402EE0000E7003AEB
-:0402EF0000E8003AE9
-:0402F00000E9003AE7
-:0402F10000EA003AE5
-:0402F20000EB003BE2
-:0402F30000EC003BE0
-:0402F40000ED003BDE
-:0402F50000EE003BDC
-:0402F60000EF003CD9
-:0402F70000F0003CD7
-:0402F80000F1003CD5
-:0402F90000F2003CD3
-:0402FA0000F3003CD1
-:0402FB0000F4003DCE
-:0402FC0000F5003DCC
-:0402FD0000F5003DCB
-:0402FE0000F6003DC9
-:0402FF0000F7003EC6
-:0403000000BA002E11
-:0403010000BB002F0E
-:0403020000BC002F0C
-:0403030000BD002F0A
-:0403040000BE002F08
-:0403050000BF003005
-:0403060000C0003003
-:0403070000C1003001
-:0403080000C20030FF
-:0403090000C30031FC
-:04030A0000C40031FA
-:04030B0000C50031F8
-:04030C0000C60031F6
-:04030D0000C70032F3
-:04030E0000C80032F1
-:04030F0000C90032EF
-:0403100000CA0032ED
-:0403110000CB0033EA
-:0403120000CC0033E8
-:0403130000CD0033E6
-:0403140000CE0033E4
-:0403150000CF0034E1
-:0403160000D00034DF
-:0403170000D10034DD
-:0403180000D20034DB
-:0403190000D30034D9
-:04031A0000D40035D6
-:04031B0000D40035D5
-:04031C0000D50035D3
-:04031D0000D60035D1
-:04031E0000D70036CE
-:04031F0000D80036CC
-:0403200000D90036CA
-:0403210000DA0036C8
-:0403220000DB0037C5
-:0403230000DC0037C3
-:0403240000DD0037C1
-:0403250000DE0037BF
-:0403260000DF0038BC
-:0403270000E00038BA
-:0403280000E10038B8
-:0403290000E20038B6
-:04032A0000E30039B3
-:04032B0000E40039B1
-:04032C0000E50039AF
-:04032D0000E60039AD
-:04032E0000E7003AAA
-:04032F0000E8003AA8
-:0403300000E9003AA6
-:0403310000EA003AA4
-:0403320000EB003BA1
-:0403330000EC003B9F
-:0403340000ED003B9D
-:0403350000EE003B9B
-:0403360000EF003C98
-:0403370000F0003C96
-:0403380000F1003C94
-:0403390000F2003C92
-:04033A0000F3003C90
-:04033B0000F4003D8D
-:04033C0000F5003D8B
-:04033D0000F5003D8A
-:04033E0000F6003D88
-:04033F0000F7003E85
-:0403400000BA002ED1
-:0403410000BB002FCE
-:0403420000BC002FCC
-:0403430000BD002FCA
-:0403440000BE002FC8
-:0403450000BF0030C5
-:0403460000C00030C3
-:0403470000C10030C1
-:0403480000C20030BF
-:0403490000C30031BC
-:04034A0000C40031BA
-:04034B0000C50031B8
-:04034C0000C60031B6
-:04034D0000C70032B3
-:04034E0000C80032B1
-:04034F0000C90032AF
-:0403500000CA0032AD
-:0403510000CB0033AA
-:0403520000CC0033A8
-:0403530000CD0033A6
-:0403540000CE0033A4
-:0403550000CF0034A1
-:0403560000D000349F
-:0403570000D100349D
-:0403580000D200349B
-:0403590000D3003499
-:04035A0000D4003596
-:04035B0000D4003595
-:04035C0000D5003593
-:04035D0000D6003591
-:04035E0000D700368E
-:04035F0000D800368C
-:0403600000D900368A
-:0403610000DA003688
-:0403620000DB003785
-:0403630000DC003783
-:0403640000DD003781
-:0403650000DE00377F
-:0403660000DF00387C
-:0403670000E000387A
-:0403680000E1003878
-:0403690000E2003876
-:04036A0000E3003973
-:04036B0000E4003971
-:04036C0000E500396F
-:04036D0000E600396D
-:04036E0000E7003A6A
-:04036F0000E8003A68
-:0403700000E9003A66
-:0403710000EA003A64
-:0403720000EB003B61
-:0403730000EC003B5F
-:0403740000ED003B5D
-:0403750000EE003B5B
-:0403760000EF003C58
-:0403770000F0003C56
-:0403780000F1003C54
-:0403790000F2003C52
-:04037A0000F3003C50
-:04037B0000F4003D4D
-:04037C0000F5003D4B
-:04037D0000F5003D4A
-:04037E0000F6003D48
-:04037F0000F7003E45
-:0403800000BA002E91
-:0403810000BB002F8E
-:0403820000BC002F8C
-:0403830000BD002F8A
-:0403840000BE002F88
-:0403850000BF003085
-:0403860000C0003083
-:0403870000C1003081
-:0403880000C200307F
-:0403890000C300317C
-:04038A0000C400317A
-:04038B0000C5003178
-:04038C0000C6003176
-:04038D0000C7003273
-:04038E0000C8003271
-:04038F0000C900326F
-:0403900000CA00326D
-:0403910000CB00336A
-:0403920000CC003368
-:0403930000CD003366
-:0403940000CE003364
-:0403950000CF003461
-:0403960000D000345F
-:0403970000D100345D
-:0403980000D200345B
-:0403990000D3003459
-:04039A0000D4003556
-:04039B0000D4003555
-:04039C0000D5003553
-:04039D0000D6003551
-:04039E0000D700364E
-:04039F0000D800364C
-:0403A00000D900364A
-:0403A10000DA003648
-:0403A20000DB003745
-:0403A30000DC003743
-:0403A40000DD003741
-:0403A50000DE00373F
-:0403A60000DF00383C
-:0403A70000E000383A
-:0403A80000E1003838
-:0403A90000E2003836
-:0403AA0000E3003933
-:0403AB0000E4003931
-:0403AC0000E500392F
-:0403AD0000E600392D
-:0403AE0000E7003A2A
-:0403AF0000E8003A28
-:0403B00000E9003A26
-:0403B10000EA003A24
-:0403B20000EB003B21
-:0403B30000EC003B1F
-:0403B40000ED003B1D
-:0403B50000EE003B1B
-:0403B60000EF003C18
-:0403B70000F0003C16
-:0403B80000F1003C14
-:0403B90000F2003C12
-:0403BA0000F3003C10
-:0403BB0000F4003D0D
-:0403BC0000F5003D0B
-:0403BD0000F5003D0A
-:0403BE0000F6003D08
-:0403BF0000F7003E05
-:0403C00000BA002E51
-:0403C10000BB002F4E
-:0403C20000BC002F4C
-:0403C30000BD002F4A
-:0403C40000BE002F48
-:0403C50000BF003045
-:0403C60000C0003043
-:0403C70000C1003041
-:0403C80000C200303F
-:0403C90000C300313C
-:0403CA0000C400313A
-:0403CB0000C5003138
-:0403CC0000C6003136
-:0403CD0000C7003233
-:0403CE0000C8003231
-:0403CF0000C900322F
-:0403D00000CA00322D
-:0403D10000CB00332A
-:0403D20000CC003328
-:0403D30000CD003326
-:0403D40000CE003324
-:0403D50000CF003421
-:0403D60000D000341F
-:0403D70000D100341D
-:0403D80000D200341B
-:0403D90000D3003419
-:0403DA0000D4003516
-:0403DB0000D4003515
-:0403DC0000D5003513
-:0403DD0000D6003511
-:0403DE0000D700360E
-:0403DF0000D800360C
-:0403E00000D900360A
-:0403E10000DA003608
-:0403E20000DB003705
-:0403E30000DC003703
-:0403E40000DD003701
-:0403E50000DE0037FF
-:0403E60000DF0038FC
-:0403E70000E00038FA
-:0403E80000E10038F8
-:0403E90000E20038F6
-:0403EA0000E30039F3
-:0403EB0000E40039F1
-:0403EC0000E50039EF
-:0403ED0000E60039ED
-:0403EE0000E7003AEA
-:0403EF0000E8003AE8
-:0403F00000E9003AE6
-:0403F10000EA003AE4
-:0403F20000EB003BE1
-:0403F30000EC003BDF
-:0403F40000ED003BDD
-:0403F50000EE003BDB
-:0403F60000EF003CD8
-:0403F70000F0003CD6
-:0403F80000F1003CD4
-:0403F90000F2003CD2
-:0403FA0000F3003CD0
-:0403FB0000F4003DCD
-:0403FC0000F5003DCB
-:0403FD0000F5003DCA
-:0403FE0000F6003DC8
-:0403FF0000F7003EC5
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_14.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_14.hex
index ef9de1137e8bd6e43b1fec3c02744c8ba9d1cae9..64fd01a9203eed46fd9a90d4e8aa218fc1481563 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_14.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_14.hex
@@ -62,964 +62,4 @@
 :04003D0000F400418A
 :04003E0000F5004287
 :04003F0000F6004285
-:0400400000B90032D1
-:0400410000BA0032CF
-:0400420000BB0032CD
-:0400430000BC0032CB
-:0400440000BD0033C8
-:0400450000BE0033C6
-:0400460000BF0033C4
-:0400470000C00034C1
-:0400480000C10034BF
-:0400490000C20034BD
-:04004A0000C30034BB
-:04004B0000C40035B8
-:04004C0000C50035B6
-:04004D0000C60035B4
-:04004E0000C70035B2
-:04004F0000C80036AF
-:0400500000C90036AD
-:0400510000CA0036AB
-:0400520000CB0036A9
-:0400530000CC0037A6
-:0400540000CD0037A4
-:0400550000CE0037A2
-:0400560000CF0037A0
-:0400570000D000389D
-:0400580000D100389B
-:0400590000D2003899
-:04005A0000D3003897
-:04005B0000D4003994
-:04005C0000D5003992
-:04005D0000D5003991
-:04005E0000D600398F
-:04005F0000D7003A8C
-:0400600000D8003A8A
-:0400610000D9003A88
-:0400620000DA003A86
-:0400630000DB003B83
-:0400640000DC003B81
-:0400650000DD003B7F
-:0400660000DE003C7C
-:0400670000DF003C7A
-:0400680000E0003C78
-:0400690000E1003C76
-:04006A0000E2003D73
-:04006B0000E3003D71
-:04006C0000E4003D6F
-:04006D0000E5003D6D
-:04006E0000E6003E6A
-:04006F0000E7003E68
-:0400700000E8003E66
-:0400710000E9003E64
-:0400720000EA003F61
-:0400730000EB003F5F
-:0400740000EC003F5D
-:0400750000ED003F5B
-:0400760000EE004058
-:0400770000EF004056
-:0400780000F0004054
-:0400790000F1004052
-:04007A0000F1004150
-:04007B0000F200414E
-:04007C0000F300414C
-:04007D0000F400414A
-:04007E0000F5004247
-:04007F0000F6004245
-:0400800000B9003291
-:0400810000BA00328F
-:0400820000BB00328D
-:0400830000BC00328B
-:0400840000BD003388
-:0400850000BE003386
-:0400860000BF003384
-:0400870000C0003481
-:0400880000C100347F
-:0400890000C200347D
-:04008A0000C300347B
-:04008B0000C4003578
-:04008C0000C5003576
-:04008D0000C6003574
-:04008E0000C7003572
-:04008F0000C800366F
-:0400900000C900366D
-:0400910000CA00366B
-:0400920000CB003669
-:0400930000CC003766
-:0400940000CD003764
-:0400950000CE003762
-:0400960000CF003760
-:0400970000D000385D
-:0400980000D100385B
-:0400990000D2003859
-:04009A0000D3003857
-:04009B0000D4003954
-:04009C0000D5003952
-:04009D0000D5003951
-:04009E0000D600394F
-:04009F0000D7003A4C
-:0400A00000D8003A4A
-:0400A10000D9003A48
-:0400A20000DA003A46
-:0400A30000DB003B43
-:0400A40000DC003B41
-:0400A50000DD003B3F
-:0400A60000DE003C3C
-:0400A70000DF003C3A
-:0400A80000E0003C38
-:0400A90000E1003C36
-:0400AA0000E2003D33
-:0400AB0000E3003D31
-:0400AC0000E4003D2F
-:0400AD0000E5003D2D
-:0400AE0000E6003E2A
-:0400AF0000E7003E28
-:0400B00000E8003E26
-:0400B10000E9003E24
-:0400B20000EA003F21
-:0400B30000EB003F1F
-:0400B40000EC003F1D
-:0400B50000ED003F1B
-:0400B60000EE004018
-:0400B70000EF004016
-:0400B80000F0004014
-:0400B90000F1004012
-:0400BA0000F1004110
-:0400BB0000F200410E
-:0400BC0000F300410C
-:0400BD0000F400410A
-:0400BE0000F5004207
-:0400BF0000F6004205
-:0400C00000B9003251
-:0400C10000BA00324F
-:0400C20000BB00324D
-:0400C30000BC00324B
-:0400C40000BD003348
-:0400C50000BE003346
-:0400C60000BF003344
-:0400C70000C0003441
-:0400C80000C100343F
-:0400C90000C200343D
-:0400CA0000C300343B
-:0400CB0000C4003538
-:0400CC0000C5003536
-:0400CD0000C6003534
-:0400CE0000C7003532
-:0400CF0000C800362F
-:0400D00000C900362D
-:0400D10000CA00362B
-:0400D20000CB003629
-:0400D30000CC003726
-:0400D40000CD003724
-:0400D50000CE003722
-:0400D60000CF003720
-:0400D70000D000381D
-:0400D80000D100381B
-:0400D90000D2003819
-:0400DA0000D3003817
-:0400DB0000D4003914
-:0400DC0000D5003912
-:0400DD0000D5003911
-:0400DE0000D600390F
-:0400DF0000D7003A0C
-:0400E00000D8003A0A
-:0400E10000D9003A08
-:0400E20000DA003A06
-:0400E30000DB003B03
-:0400E40000DC003B01
-:0400E50000DD003BFF
-:0400E60000DE003CFC
-:0400E70000DF003CFA
-:0400E80000E0003CF8
-:0400E90000E1003CF6
-:0400EA0000E2003DF3
-:0400EB0000E3003DF1
-:0400EC0000E4003DEF
-:0400ED0000E5003DED
-:0400EE0000E6003EEA
-:0400EF0000E7003EE8
-:0400F00000E8003EE6
-:0400F10000E9003EE4
-:0400F20000EA003FE1
-:0400F30000EB003FDF
-:0400F40000EC003FDD
-:0400F50000ED003FDB
-:0400F60000EE0040D8
-:0400F70000EF0040D6
-:0400F80000F00040D4
-:0400F90000F10040D2
-:0400FA0000F10041D0
-:0400FB0000F20041CE
-:0400FC0000F30041CC
-:0400FD0000F40041CA
-:0400FE0000F50042C7
-:0400FF0000F60042C5
-:0401000000B9003210
-:0401010000BA00320E
-:0401020000BB00320C
-:0401030000BC00320A
-:0401040000BD003307
-:0401050000BE003305
-:0401060000BF003303
-:0401070000C0003400
-:0401080000C10034FE
-:0401090000C20034FC
-:04010A0000C30034FA
-:04010B0000C40035F7
-:04010C0000C50035F5
-:04010D0000C60035F3
-:04010E0000C70035F1
-:04010F0000C80036EE
-:0401100000C90036EC
-:0401110000CA0036EA
-:0401120000CB0036E8
-:0401130000CC0037E5
-:0401140000CD0037E3
-:0401150000CE0037E1
-:0401160000CF0037DF
-:0401170000D00038DC
-:0401180000D10038DA
-:0401190000D20038D8
-:04011A0000D30038D6
-:04011B0000D40039D3
-:04011C0000D50039D1
-:04011D0000D50039D0
-:04011E0000D60039CE
-:04011F0000D7003ACB
-:0401200000D8003AC9
-:0401210000D9003AC7
-:0401220000DA003AC5
-:0401230000DB003BC2
-:0401240000DC003BC0
-:0401250000DD003BBE
-:0401260000DE003CBB
-:0401270000DF003CB9
-:0401280000E0003CB7
-:0401290000E1003CB5
-:04012A0000E2003DB2
-:04012B0000E3003DB0
-:04012C0000E4003DAE
-:04012D0000E5003DAC
-:04012E0000E6003EA9
-:04012F0000E7003EA7
-:0401300000E8003EA5
-:0401310000E9003EA3
-:0401320000EA003FA0
-:0401330000EB003F9E
-:0401340000EC003F9C
-:0401350000ED003F9A
-:0401360000EE004097
-:0401370000EF004095
-:0401380000F0004093
-:0401390000F1004091
-:04013A0000F100418F
-:04013B0000F200418D
-:04013C0000F300418B
-:04013D0000F4004189
-:04013E0000F5004286
-:04013F0000F6004284
-:0401400000B90032D0
-:0401410000BA0032CE
-:0401420000BB0032CC
-:0401430000BC0032CA
-:0401440000BD0033C7
-:0401450000BE0033C5
-:0401460000BF0033C3
-:0401470000C00034C0
-:0401480000C10034BE
-:0401490000C20034BC
-:04014A0000C30034BA
-:04014B0000C40035B7
-:04014C0000C50035B5
-:04014D0000C60035B3
-:04014E0000C70035B1
-:04014F0000C80036AE
-:0401500000C90036AC
-:0401510000CA0036AA
-:0401520000CB0036A8
-:0401530000CC0037A5
-:0401540000CD0037A3
-:0401550000CE0037A1
-:0401560000CF00379F
-:0401570000D000389C
-:0401580000D100389A
-:0401590000D2003898
-:04015A0000D3003896
-:04015B0000D4003993
-:04015C0000D5003991
-:04015D0000D5003990
-:04015E0000D600398E
-:04015F0000D7003A8B
-:0401600000D8003A89
-:0401610000D9003A87
-:0401620000DA003A85
-:0401630000DB003B82
-:0401640000DC003B80
-:0401650000DD003B7E
-:0401660000DE003C7B
-:0401670000DF003C79
-:0401680000E0003C77
-:0401690000E1003C75
-:04016A0000E2003D72
-:04016B0000E3003D70
-:04016C0000E4003D6E
-:04016D0000E5003D6C
-:04016E0000E6003E69
-:04016F0000E7003E67
-:0401700000E8003E65
-:0401710000E9003E63
-:0401720000EA003F60
-:0401730000EB003F5E
-:0401740000EC003F5C
-:0401750000ED003F5A
-:0401760000EE004057
-:0401770000EF004055
-:0401780000F0004053
-:0401790000F1004051
-:04017A0000F100414F
-:04017B0000F200414D
-:04017C0000F300414B
-:04017D0000F4004149
-:04017E0000F5004246
-:04017F0000F6004244
-:0401800000B9003290
-:0401810000BA00328E
-:0401820000BB00328C
-:0401830000BC00328A
-:0401840000BD003387
-:0401850000BE003385
-:0401860000BF003383
-:0401870000C0003480
-:0401880000C100347E
-:0401890000C200347C
-:04018A0000C300347A
-:04018B0000C4003577
-:04018C0000C5003575
-:04018D0000C6003573
-:04018E0000C7003571
-:04018F0000C800366E
-:0401900000C900366C
-:0401910000CA00366A
-:0401920000CB003668
-:0401930000CC003765
-:0401940000CD003763
-:0401950000CE003761
-:0401960000CF00375F
-:0401970000D000385C
-:0401980000D100385A
-:0401990000D2003858
-:04019A0000D3003856
-:04019B0000D4003953
-:04019C0000D5003951
-:04019D0000D5003950
-:04019E0000D600394E
-:04019F0000D7003A4B
-:0401A00000D8003A49
-:0401A10000D9003A47
-:0401A20000DA003A45
-:0401A30000DB003B42
-:0401A40000DC003B40
-:0401A50000DD003B3E
-:0401A60000DE003C3B
-:0401A70000DF003C39
-:0401A80000E0003C37
-:0401A90000E1003C35
-:0401AA0000E2003D32
-:0401AB0000E3003D30
-:0401AC0000E4003D2E
-:0401AD0000E5003D2C
-:0401AE0000E6003E29
-:0401AF0000E7003E27
-:0401B00000E8003E25
-:0401B10000E9003E23
-:0401B20000EA003F20
-:0401B30000EB003F1E
-:0401B40000EC003F1C
-:0401B50000ED003F1A
-:0401B60000EE004017
-:0401B70000EF004015
-:0401B80000F0004013
-:0401B90000F1004011
-:0401BA0000F100410F
-:0401BB0000F200410D
-:0401BC0000F300410B
-:0401BD0000F4004109
-:0401BE0000F5004206
-:0401BF0000F6004204
-:0401C00000B9003250
-:0401C10000BA00324E
-:0401C20000BB00324C
-:0401C30000BC00324A
-:0401C40000BD003347
-:0401C50000BE003345
-:0401C60000BF003343
-:0401C70000C0003440
-:0401C80000C100343E
-:0401C90000C200343C
-:0401CA0000C300343A
-:0401CB0000C4003537
-:0401CC0000C5003535
-:0401CD0000C6003533
-:0401CE0000C7003531
-:0401CF0000C800362E
-:0401D00000C900362C
-:0401D10000CA00362A
-:0401D20000CB003628
-:0401D30000CC003725
-:0401D40000CD003723
-:0401D50000CE003721
-:0401D60000CF00371F
-:0401D70000D000381C
-:0401D80000D100381A
-:0401D90000D2003818
-:0401DA0000D3003816
-:0401DB0000D4003913
-:0401DC0000D5003911
-:0401DD0000D5003910
-:0401DE0000D600390E
-:0401DF0000D7003A0B
-:0401E00000D8003A09
-:0401E10000D9003A07
-:0401E20000DA003A05
-:0401E30000DB003B02
-:0401E40000DC003B00
-:0401E50000DD003BFE
-:0401E60000DE003CFB
-:0401E70000DF003CF9
-:0401E80000E0003CF7
-:0401E90000E1003CF5
-:0401EA0000E2003DF2
-:0401EB0000E3003DF0
-:0401EC0000E4003DEE
-:0401ED0000E5003DEC
-:0401EE0000E6003EE9
-:0401EF0000E7003EE7
-:0401F00000E8003EE5
-:0401F10000E9003EE3
-:0401F20000EA003FE0
-:0401F30000EB003FDE
-:0401F40000EC003FDC
-:0401F50000ED003FDA
-:0401F60000EE0040D7
-:0401F70000EF0040D5
-:0401F80000F00040D3
-:0401F90000F10040D1
-:0401FA0000F10041CF
-:0401FB0000F20041CD
-:0401FC0000F30041CB
-:0401FD0000F40041C9
-:0401FE0000F50042C6
-:0401FF0000F60042C4
-:0402000000B900320F
-:0402010000BA00320D
-:0402020000BB00320B
-:0402030000BC003209
-:0402040000BD003306
-:0402050000BE003304
-:0402060000BF003302
-:0402070000C00034FF
-:0402080000C10034FD
-:0402090000C20034FB
-:04020A0000C30034F9
-:04020B0000C40035F6
-:04020C0000C50035F4
-:04020D0000C60035F2
-:04020E0000C70035F0
-:04020F0000C80036ED
-:0402100000C90036EB
-:0402110000CA0036E9
-:0402120000CB0036E7
-:0402130000CC0037E4
-:0402140000CD0037E2
-:0402150000CE0037E0
-:0402160000CF0037DE
-:0402170000D00038DB
-:0402180000D10038D9
-:0402190000D20038D7
-:04021A0000D30038D5
-:04021B0000D40039D2
-:04021C0000D50039D0
-:04021D0000D50039CF
-:04021E0000D60039CD
-:04021F0000D7003ACA
-:0402200000D8003AC8
-:0402210000D9003AC6
-:0402220000DA003AC4
-:0402230000DB003BC1
-:0402240000DC003BBF
-:0402250000DD003BBD
-:0402260000DE003CBA
-:0402270000DF003CB8
-:0402280000E0003CB6
-:0402290000E1003CB4
-:04022A0000E2003DB1
-:04022B0000E3003DAF
-:04022C0000E4003DAD
-:04022D0000E5003DAB
-:04022E0000E6003EA8
-:04022F0000E7003EA6
-:0402300000E8003EA4
-:0402310000E9003EA2
-:0402320000EA003F9F
-:0402330000EB003F9D
-:0402340000EC003F9B
-:0402350000ED003F99
-:0402360000EE004096
-:0402370000EF004094
-:0402380000F0004092
-:0402390000F1004090
-:04023A0000F100418E
-:04023B0000F200418C
-:04023C0000F300418A
-:04023D0000F4004188
-:04023E0000F5004285
-:04023F0000F6004283
-:0402400000B90032CF
-:0402410000BA0032CD
-:0402420000BB0032CB
-:0402430000BC0032C9
-:0402440000BD0033C6
-:0402450000BE0033C4
-:0402460000BF0033C2
-:0402470000C00034BF
-:0402480000C10034BD
-:0402490000C20034BB
-:04024A0000C30034B9
-:04024B0000C40035B6
-:04024C0000C50035B4
-:04024D0000C60035B2
-:04024E0000C70035B0
-:04024F0000C80036AD
-:0402500000C90036AB
-:0402510000CA0036A9
-:0402520000CB0036A7
-:0402530000CC0037A4
-:0402540000CD0037A2
-:0402550000CE0037A0
-:0402560000CF00379E
-:0402570000D000389B
-:0402580000D1003899
-:0402590000D2003897
-:04025A0000D3003895
-:04025B0000D4003992
-:04025C0000D5003990
-:04025D0000D500398F
-:04025E0000D600398D
-:04025F0000D7003A8A
-:0402600000D8003A88
-:0402610000D9003A86
-:0402620000DA003A84
-:0402630000DB003B81
-:0402640000DC003B7F
-:0402650000DD003B7D
-:0402660000DE003C7A
-:0402670000DF003C78
-:0402680000E0003C76
-:0402690000E1003C74
-:04026A0000E2003D71
-:04026B0000E3003D6F
-:04026C0000E4003D6D
-:04026D0000E5003D6B
-:04026E0000E6003E68
-:04026F0000E7003E66
-:0402700000E8003E64
-:0402710000E9003E62
-:0402720000EA003F5F
-:0402730000EB003F5D
-:0402740000EC003F5B
-:0402750000ED003F59
-:0402760000EE004056
-:0402770000EF004054
-:0402780000F0004052
-:0402790000F1004050
-:04027A0000F100414E
-:04027B0000F200414C
-:04027C0000F300414A
-:04027D0000F4004148
-:04027E0000F5004245
-:04027F0000F6004243
-:0402800000B900328F
-:0402810000BA00328D
-:0402820000BB00328B
-:0402830000BC003289
-:0402840000BD003386
-:0402850000BE003384
-:0402860000BF003382
-:0402870000C000347F
-:0402880000C100347D
-:0402890000C200347B
-:04028A0000C3003479
-:04028B0000C4003576
-:04028C0000C5003574
-:04028D0000C6003572
-:04028E0000C7003570
-:04028F0000C800366D
-:0402900000C900366B
-:0402910000CA003669
-:0402920000CB003667
-:0402930000CC003764
-:0402940000CD003762
-:0402950000CE003760
-:0402960000CF00375E
-:0402970000D000385B
-:0402980000D1003859
-:0402990000D2003857
-:04029A0000D3003855
-:04029B0000D4003952
-:04029C0000D5003950
-:04029D0000D500394F
-:04029E0000D600394D
-:04029F0000D7003A4A
-:0402A00000D8003A48
-:0402A10000D9003A46
-:0402A20000DA003A44
-:0402A30000DB003B41
-:0402A40000DC003B3F
-:0402A50000DD003B3D
-:0402A60000DE003C3A
-:0402A70000DF003C38
-:0402A80000E0003C36
-:0402A90000E1003C34
-:0402AA0000E2003D31
-:0402AB0000E3003D2F
-:0402AC0000E4003D2D
-:0402AD0000E5003D2B
-:0402AE0000E6003E28
-:0402AF0000E7003E26
-:0402B00000E8003E24
-:0402B10000E9003E22
-:0402B20000EA003F1F
-:0402B30000EB003F1D
-:0402B40000EC003F1B
-:0402B50000ED003F19
-:0402B60000EE004016
-:0402B70000EF004014
-:0402B80000F0004012
-:0402B90000F1004010
-:0402BA0000F100410E
-:0402BB0000F200410C
-:0402BC0000F300410A
-:0402BD0000F4004108
-:0402BE0000F5004205
-:0402BF0000F6004203
-:0402C00000B900324F
-:0402C10000BA00324D
-:0402C20000BB00324B
-:0402C30000BC003249
-:0402C40000BD003346
-:0402C50000BE003344
-:0402C60000BF003342
-:0402C70000C000343F
-:0402C80000C100343D
-:0402C90000C200343B
-:0402CA0000C3003439
-:0402CB0000C4003536
-:0402CC0000C5003534
-:0402CD0000C6003532
-:0402CE0000C7003530
-:0402CF0000C800362D
-:0402D00000C900362B
-:0402D10000CA003629
-:0402D20000CB003627
-:0402D30000CC003724
-:0402D40000CD003722
-:0402D50000CE003720
-:0402D60000CF00371E
-:0402D70000D000381B
-:0402D80000D1003819
-:0402D90000D2003817
-:0402DA0000D3003815
-:0402DB0000D4003912
-:0402DC0000D5003910
-:0402DD0000D500390F
-:0402DE0000D600390D
-:0402DF0000D7003A0A
-:0402E00000D8003A08
-:0402E10000D9003A06
-:0402E20000DA003A04
-:0402E30000DB003B01
-:0402E40000DC003BFF
-:0402E50000DD003BFD
-:0402E60000DE003CFA
-:0402E70000DF003CF8
-:0402E80000E0003CF6
-:0402E90000E1003CF4
-:0402EA0000E2003DF1
-:0402EB0000E3003DEF
-:0402EC0000E4003DED
-:0402ED0000E5003DEB
-:0402EE0000E6003EE8
-:0402EF0000E7003EE6
-:0402F00000E8003EE4
-:0402F10000E9003EE2
-:0402F20000EA003FDF
-:0402F30000EB003FDD
-:0402F40000EC003FDB
-:0402F50000ED003FD9
-:0402F60000EE0040D6
-:0402F70000EF0040D4
-:0402F80000F00040D2
-:0402F90000F10040D0
-:0402FA0000F10041CE
-:0402FB0000F20041CC
-:0402FC0000F30041CA
-:0402FD0000F40041C8
-:0402FE0000F50042C5
-:0402FF0000F60042C3
-:0403000000B900320E
-:0403010000BA00320C
-:0403020000BB00320A
-:0403030000BC003208
-:0403040000BD003305
-:0403050000BE003303
-:0403060000BF003301
-:0403070000C00034FE
-:0403080000C10034FC
-:0403090000C20034FA
-:04030A0000C30034F8
-:04030B0000C40035F5
-:04030C0000C50035F3
-:04030D0000C60035F1
-:04030E0000C70035EF
-:04030F0000C80036EC
-:0403100000C90036EA
-:0403110000CA0036E8
-:0403120000CB0036E6
-:0403130000CC0037E3
-:0403140000CD0037E1
-:0403150000CE0037DF
-:0403160000CF0037DD
-:0403170000D00038DA
-:0403180000D10038D8
-:0403190000D20038D6
-:04031A0000D30038D4
-:04031B0000D40039D1
-:04031C0000D50039CF
-:04031D0000D50039CE
-:04031E0000D60039CC
-:04031F0000D7003AC9
-:0403200000D8003AC7
-:0403210000D9003AC5
-:0403220000DA003AC3
-:0403230000DB003BC0
-:0403240000DC003BBE
-:0403250000DD003BBC
-:0403260000DE003CB9
-:0403270000DF003CB7
-:0403280000E0003CB5
-:0403290000E1003CB3
-:04032A0000E2003DB0
-:04032B0000E3003DAE
-:04032C0000E4003DAC
-:04032D0000E5003DAA
-:04032E0000E6003EA7
-:04032F0000E7003EA5
-:0403300000E8003EA3
-:0403310000E9003EA1
-:0403320000EA003F9E
-:0403330000EB003F9C
-:0403340000EC003F9A
-:0403350000ED003F98
-:0403360000EE004095
-:0403370000EF004093
-:0403380000F0004091
-:0403390000F100408F
-:04033A0000F100418D
-:04033B0000F200418B
-:04033C0000F3004189
-:04033D0000F4004187
-:04033E0000F5004284
-:04033F0000F6004282
-:0403400000B90032CE
-:0403410000BA0032CC
-:0403420000BB0032CA
-:0403430000BC0032C8
-:0403440000BD0033C5
-:0403450000BE0033C3
-:0403460000BF0033C1
-:0403470000C00034BE
-:0403480000C10034BC
-:0403490000C20034BA
-:04034A0000C30034B8
-:04034B0000C40035B5
-:04034C0000C50035B3
-:04034D0000C60035B1
-:04034E0000C70035AF
-:04034F0000C80036AC
-:0403500000C90036AA
-:0403510000CA0036A8
-:0403520000CB0036A6
-:0403530000CC0037A3
-:0403540000CD0037A1
-:0403550000CE00379F
-:0403560000CF00379D
-:0403570000D000389A
-:0403580000D1003898
-:0403590000D2003896
-:04035A0000D3003894
-:04035B0000D4003991
-:04035C0000D500398F
-:04035D0000D500398E
-:04035E0000D600398C
-:04035F0000D7003A89
-:0403600000D8003A87
-:0403610000D9003A85
-:0403620000DA003A83
-:0403630000DB003B80
-:0403640000DC003B7E
-:0403650000DD003B7C
-:0403660000DE003C79
-:0403670000DF003C77
-:0403680000E0003C75
-:0403690000E1003C73
-:04036A0000E2003D70
-:04036B0000E3003D6E
-:04036C0000E4003D6C
-:04036D0000E5003D6A
-:04036E0000E6003E67
-:04036F0000E7003E65
-:0403700000E8003E63
-:0403710000E9003E61
-:0403720000EA003F5E
-:0403730000EB003F5C
-:0403740000EC003F5A
-:0403750000ED003F58
-:0403760000EE004055
-:0403770000EF004053
-:0403780000F0004051
-:0403790000F100404F
-:04037A0000F100414D
-:04037B0000F200414B
-:04037C0000F3004149
-:04037D0000F4004147
-:04037E0000F5004244
-:04037F0000F6004242
-:0403800000B900328E
-:0403810000BA00328C
-:0403820000BB00328A
-:0403830000BC003288
-:0403840000BD003385
-:0403850000BE003383
-:0403860000BF003381
-:0403870000C000347E
-:0403880000C100347C
-:0403890000C200347A
-:04038A0000C3003478
-:04038B0000C4003575
-:04038C0000C5003573
-:04038D0000C6003571
-:04038E0000C700356F
-:04038F0000C800366C
-:0403900000C900366A
-:0403910000CA003668
-:0403920000CB003666
-:0403930000CC003763
-:0403940000CD003761
-:0403950000CE00375F
-:0403960000CF00375D
-:0403970000D000385A
-:0403980000D1003858
-:0403990000D2003856
-:04039A0000D3003854
-:04039B0000D4003951
-:04039C0000D500394F
-:04039D0000D500394E
-:04039E0000D600394C
-:04039F0000D7003A49
-:0403A00000D8003A47
-:0403A10000D9003A45
-:0403A20000DA003A43
-:0403A30000DB003B40
-:0403A40000DC003B3E
-:0403A50000DD003B3C
-:0403A60000DE003C39
-:0403A70000DF003C37
-:0403A80000E0003C35
-:0403A90000E1003C33
-:0403AA0000E2003D30
-:0403AB0000E3003D2E
-:0403AC0000E4003D2C
-:0403AD0000E5003D2A
-:0403AE0000E6003E27
-:0403AF0000E7003E25
-:0403B00000E8003E23
-:0403B10000E9003E21
-:0403B20000EA003F1E
-:0403B30000EB003F1C
-:0403B40000EC003F1A
-:0403B50000ED003F18
-:0403B60000EE004015
-:0403B70000EF004013
-:0403B80000F0004011
-:0403B90000F100400F
-:0403BA0000F100410D
-:0403BB0000F200410B
-:0403BC0000F3004109
-:0403BD0000F4004107
-:0403BE0000F5004204
-:0403BF0000F6004202
-:0403C00000B900324E
-:0403C10000BA00324C
-:0403C20000BB00324A
-:0403C30000BC003248
-:0403C40000BD003345
-:0403C50000BE003343
-:0403C60000BF003341
-:0403C70000C000343E
-:0403C80000C100343C
-:0403C90000C200343A
-:0403CA0000C3003438
-:0403CB0000C4003535
-:0403CC0000C5003533
-:0403CD0000C6003531
-:0403CE0000C700352F
-:0403CF0000C800362C
-:0403D00000C900362A
-:0403D10000CA003628
-:0403D20000CB003626
-:0403D30000CC003723
-:0403D40000CD003721
-:0403D50000CE00371F
-:0403D60000CF00371D
-:0403D70000D000381A
-:0403D80000D1003818
-:0403D90000D2003816
-:0403DA0000D3003814
-:0403DB0000D4003911
-:0403DC0000D500390F
-:0403DD0000D500390E
-:0403DE0000D600390C
-:0403DF0000D7003A09
-:0403E00000D8003A07
-:0403E10000D9003A05
-:0403E20000DA003A03
-:0403E30000DB003B00
-:0403E40000DC003BFE
-:0403E50000DD003BFC
-:0403E60000DE003CF9
-:0403E70000DF003CF7
-:0403E80000E0003CF5
-:0403E90000E1003CF3
-:0403EA0000E2003DF0
-:0403EB0000E3003DEE
-:0403EC0000E4003DEC
-:0403ED0000E5003DEA
-:0403EE0000E6003EE7
-:0403EF0000E7003EE5
-:0403F00000E8003EE3
-:0403F10000E9003EE1
-:0403F20000EA003FDE
-:0403F30000EB003FDC
-:0403F40000EC003FDA
-:0403F50000ED003FD8
-:0403F60000EE0040D5
-:0403F70000EF0040D3
-:0403F80000F00040D1
-:0403F90000F10040CF
-:0403FA0000F10041CD
-:0403FB0000F20041CB
-:0403FC0000F30041C9
-:0403FD0000F40041C7
-:0403FE0000F50042C4
-:0403FF0000F60042C2
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_15.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_15.hex
index d9c34b0367780102a96de2022d96a7123f647d3f..518d92cd606ece8904ff13b12f0bfa465a1ba3d5 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_15.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_15.hex
@@ -62,964 +62,4 @@
 :04003D0000F3004686
 :04003E0000F4004684
 :04003F0000F5004682
-:0400400000B90035CE
-:0400410000BA0035CC
-:0400420000BA0035CB
-:0400430000BB0036C8
-:0400440000BC0036C6
-:0400450000BD0036C4
-:0400460000BE0037C1
-:0400470000BF0037BF
-:0400480000C00037BD
-:0400490000C10037BB
-:04004A0000C20038B8
-:04004B0000C30038B6
-:04004C0000C40038B4
-:04004D0000C50039B1
-:04004E0000C60039AF
-:04004F0000C70039AD
-:0400500000C80039AB
-:0400510000C9003AA8
-:0400520000CA003AA6
-:0400530000CB003AA4
-:0400540000CC003AA2
-:0400550000CD003B9F
-:0400560000CE003B9D
-:0400570000CF003B9B
-:0400580000D0003C98
-:0400590000D1003C96
-:04005A0000D2003C94
-:04005B0000D3003C92
-:04005C0000D3003D90
-:04005D0000D4003D8E
-:04005E0000D5003D8C
-:04005F0000D6003D8A
-:0400600000D7003E87
-:0400610000D8003E85
-:0400620000D9003E83
-:0400630000DA003F80
-:0400640000DB003F7E
-:0400650000DC003F7C
-:0400660000DD003F7A
-:0400670000DE004077
-:0400680000DF004075
-:0400690000E0004073
-:04006A0000E1004071
-:04006B0000E200416E
-:04006C0000E300416C
-:04006D0000E400416A
-:04006E0000E5004267
-:04006F0000E6004265
-:0400700000E7004263
-:0400710000E8004261
-:0400720000E900435E
-:0400730000EA00435C
-:0400740000EB00435A
-:0400750000EC004457
-:0400760000EC004456
-:0400770000ED004454
-:0400780000EE004452
-:0400790000EF00454F
-:04007A0000F000454D
-:04007B0000F100454B
-:04007C0000F2004549
-:04007D0000F3004646
-:04007E0000F4004644
-:04007F0000F5004642
-:0400800000B900358E
-:0400810000BA00358C
-:0400820000BA00358B
-:0400830000BB003688
-:0400840000BC003686
-:0400850000BD003684
-:0400860000BE003781
-:0400870000BF00377F
-:0400880000C000377D
-:0400890000C100377B
-:04008A0000C2003878
-:04008B0000C3003876
-:04008C0000C4003874
-:04008D0000C5003971
-:04008E0000C600396F
-:04008F0000C700396D
-:0400900000C800396B
-:0400910000C9003A68
-:0400920000CA003A66
-:0400930000CB003A64
-:0400940000CC003A62
-:0400950000CD003B5F
-:0400960000CE003B5D
-:0400970000CF003B5B
-:0400980000D0003C58
-:0400990000D1003C56
-:04009A0000D2003C54
-:04009B0000D3003C52
-:04009C0000D3003D50
-:04009D0000D4003D4E
-:04009E0000D5003D4C
-:04009F0000D6003D4A
-:0400A00000D7003E47
-:0400A10000D8003E45
-:0400A20000D9003E43
-:0400A30000DA003F40
-:0400A40000DB003F3E
-:0400A50000DC003F3C
-:0400A60000DD003F3A
-:0400A70000DE004037
-:0400A80000DF004035
-:0400A90000E0004033
-:0400AA0000E1004031
-:0400AB0000E200412E
-:0400AC0000E300412C
-:0400AD0000E400412A
-:0400AE0000E5004227
-:0400AF0000E6004225
-:0400B00000E7004223
-:0400B10000E8004221
-:0400B20000E900431E
-:0400B30000EA00431C
-:0400B40000EB00431A
-:0400B50000EC004417
-:0400B60000EC004416
-:0400B70000ED004414
-:0400B80000EE004412
-:0400B90000EF00450F
-:0400BA0000F000450D
-:0400BB0000F100450B
-:0400BC0000F2004509
-:0400BD0000F3004606
-:0400BE0000F4004604
-:0400BF0000F5004602
-:0400C00000B900354E
-:0400C10000BA00354C
-:0400C20000BA00354B
-:0400C30000BB003648
-:0400C40000BC003646
-:0400C50000BD003644
-:0400C60000BE003741
-:0400C70000BF00373F
-:0400C80000C000373D
-:0400C90000C100373B
-:0400CA0000C2003838
-:0400CB0000C3003836
-:0400CC0000C4003834
-:0400CD0000C5003931
-:0400CE0000C600392F
-:0400CF0000C700392D
-:0400D00000C800392B
-:0400D10000C9003A28
-:0400D20000CA003A26
-:0400D30000CB003A24
-:0400D40000CC003A22
-:0400D50000CD003B1F
-:0400D60000CE003B1D
-:0400D70000CF003B1B
-:0400D80000D0003C18
-:0400D90000D1003C16
-:0400DA0000D2003C14
-:0400DB0000D3003C12
-:0400DC0000D3003D10
-:0400DD0000D4003D0E
-:0400DE0000D5003D0C
-:0400DF0000D6003D0A
-:0400E00000D7003E07
-:0400E10000D8003E05
-:0400E20000D9003E03
-:0400E30000DA003F00
-:0400E40000DB003FFE
-:0400E50000DC003FFC
-:0400E60000DD003FFA
-:0400E70000DE0040F7
-:0400E80000DF0040F5
-:0400E90000E00040F3
-:0400EA0000E10040F1
-:0400EB0000E20041EE
-:0400EC0000E30041EC
-:0400ED0000E40041EA
-:0400EE0000E50042E7
-:0400EF0000E60042E5
-:0400F00000E70042E3
-:0400F10000E80042E1
-:0400F20000E90043DE
-:0400F30000EA0043DC
-:0400F40000EB0043DA
-:0400F50000EC0044D7
-:0400F60000EC0044D6
-:0400F70000ED0044D4
-:0400F80000EE0044D2
-:0400F90000EF0045CF
-:0400FA0000F00045CD
-:0400FB0000F10045CB
-:0400FC0000F20045C9
-:0400FD0000F30046C6
-:0400FE0000F40046C4
-:0400FF0000F50046C2
-:0401000000B900350D
-:0401010000BA00350B
-:0401020000BA00350A
-:0401030000BB003607
-:0401040000BC003605
-:0401050000BD003603
-:0401060000BE003700
-:0401070000BF0037FE
-:0401080000C00037FC
-:0401090000C10037FA
-:04010A0000C20038F7
-:04010B0000C30038F5
-:04010C0000C40038F3
-:04010D0000C50039F0
-:04010E0000C60039EE
-:04010F0000C70039EC
-:0401100000C80039EA
-:0401110000C9003AE7
-:0401120000CA003AE5
-:0401130000CB003AE3
-:0401140000CC003AE1
-:0401150000CD003BDE
-:0401160000CE003BDC
-:0401170000CF003BDA
-:0401180000D0003CD7
-:0401190000D1003CD5
-:04011A0000D2003CD3
-:04011B0000D3003CD1
-:04011C0000D3003DCF
-:04011D0000D4003DCD
-:04011E0000D5003DCB
-:04011F0000D6003DC9
-:0401200000D7003EC6
-:0401210000D8003EC4
-:0401220000D9003EC2
-:0401230000DA003FBF
-:0401240000DB003FBD
-:0401250000DC003FBB
-:0401260000DD003FB9
-:0401270000DE0040B6
-:0401280000DF0040B4
-:0401290000E00040B2
-:04012A0000E10040B0
-:04012B0000E20041AD
-:04012C0000E30041AB
-:04012D0000E40041A9
-:04012E0000E50042A6
-:04012F0000E60042A4
-:0401300000E70042A2
-:0401310000E80042A0
-:0401320000E900439D
-:0401330000EA00439B
-:0401340000EB004399
-:0401350000EC004496
-:0401360000EC004495
-:0401370000ED004493
-:0401380000EE004491
-:0401390000EF00458E
-:04013A0000F000458C
-:04013B0000F100458A
-:04013C0000F2004588
-:04013D0000F3004685
-:04013E0000F4004683
-:04013F0000F5004681
-:0401400000B90035CD
-:0401410000BA0035CB
-:0401420000BA0035CA
-:0401430000BB0036C7
-:0401440000BC0036C5
-:0401450000BD0036C3
-:0401460000BE0037C0
-:0401470000BF0037BE
-:0401480000C00037BC
-:0401490000C10037BA
-:04014A0000C20038B7
-:04014B0000C30038B5
-:04014C0000C40038B3
-:04014D0000C50039B0
-:04014E0000C60039AE
-:04014F0000C70039AC
-:0401500000C80039AA
-:0401510000C9003AA7
-:0401520000CA003AA5
-:0401530000CB003AA3
-:0401540000CC003AA1
-:0401550000CD003B9E
-:0401560000CE003B9C
-:0401570000CF003B9A
-:0401580000D0003C97
-:0401590000D1003C95
-:04015A0000D2003C93
-:04015B0000D3003C91
-:04015C0000D3003D8F
-:04015D0000D4003D8D
-:04015E0000D5003D8B
-:04015F0000D6003D89
-:0401600000D7003E86
-:0401610000D8003E84
-:0401620000D9003E82
-:0401630000DA003F7F
-:0401640000DB003F7D
-:0401650000DC003F7B
-:0401660000DD003F79
-:0401670000DE004076
-:0401680000DF004074
-:0401690000E0004072
-:04016A0000E1004070
-:04016B0000E200416D
-:04016C0000E300416B
-:04016D0000E4004169
-:04016E0000E5004266
-:04016F0000E6004264
-:0401700000E7004262
-:0401710000E8004260
-:0401720000E900435D
-:0401730000EA00435B
-:0401740000EB004359
-:0401750000EC004456
-:0401760000EC004455
-:0401770000ED004453
-:0401780000EE004451
-:0401790000EF00454E
-:04017A0000F000454C
-:04017B0000F100454A
-:04017C0000F2004548
-:04017D0000F3004645
-:04017E0000F4004643
-:04017F0000F5004641
-:0401800000B900358D
-:0401810000BA00358B
-:0401820000BA00358A
-:0401830000BB003687
-:0401840000BC003685
-:0401850000BD003683
-:0401860000BE003780
-:0401870000BF00377E
-:0401880000C000377C
-:0401890000C100377A
-:04018A0000C2003877
-:04018B0000C3003875
-:04018C0000C4003873
-:04018D0000C5003970
-:04018E0000C600396E
-:04018F0000C700396C
-:0401900000C800396A
-:0401910000C9003A67
-:0401920000CA003A65
-:0401930000CB003A63
-:0401940000CC003A61
-:0401950000CD003B5E
-:0401960000CE003B5C
-:0401970000CF003B5A
-:0401980000D0003C57
-:0401990000D1003C55
-:04019A0000D2003C53
-:04019B0000D3003C51
-:04019C0000D3003D4F
-:04019D0000D4003D4D
-:04019E0000D5003D4B
-:04019F0000D6003D49
-:0401A00000D7003E46
-:0401A10000D8003E44
-:0401A20000D9003E42
-:0401A30000DA003F3F
-:0401A40000DB003F3D
-:0401A50000DC003F3B
-:0401A60000DD003F39
-:0401A70000DE004036
-:0401A80000DF004034
-:0401A90000E0004032
-:0401AA0000E1004030
-:0401AB0000E200412D
-:0401AC0000E300412B
-:0401AD0000E4004129
-:0401AE0000E5004226
-:0401AF0000E6004224
-:0401B00000E7004222
-:0401B10000E8004220
-:0401B20000E900431D
-:0401B30000EA00431B
-:0401B40000EB004319
-:0401B50000EC004416
-:0401B60000EC004415
-:0401B70000ED004413
-:0401B80000EE004411
-:0401B90000EF00450E
-:0401BA0000F000450C
-:0401BB0000F100450A
-:0401BC0000F2004508
-:0401BD0000F3004605
-:0401BE0000F4004603
-:0401BF0000F5004601
-:0401C00000B900354D
-:0401C10000BA00354B
-:0401C20000BA00354A
-:0401C30000BB003647
-:0401C40000BC003645
-:0401C50000BD003643
-:0401C60000BE003740
-:0401C70000BF00373E
-:0401C80000C000373C
-:0401C90000C100373A
-:0401CA0000C2003837
-:0401CB0000C3003835
-:0401CC0000C4003833
-:0401CD0000C5003930
-:0401CE0000C600392E
-:0401CF0000C700392C
-:0401D00000C800392A
-:0401D10000C9003A27
-:0401D20000CA003A25
-:0401D30000CB003A23
-:0401D40000CC003A21
-:0401D50000CD003B1E
-:0401D60000CE003B1C
-:0401D70000CF003B1A
-:0401D80000D0003C17
-:0401D90000D1003C15
-:0401DA0000D2003C13
-:0401DB0000D3003C11
-:0401DC0000D3003D0F
-:0401DD0000D4003D0D
-:0401DE0000D5003D0B
-:0401DF0000D6003D09
-:0401E00000D7003E06
-:0401E10000D8003E04
-:0401E20000D9003E02
-:0401E30000DA003FFF
-:0401E40000DB003FFD
-:0401E50000DC003FFB
-:0401E60000DD003FF9
-:0401E70000DE0040F6
-:0401E80000DF0040F4
-:0401E90000E00040F2
-:0401EA0000E10040F0
-:0401EB0000E20041ED
-:0401EC0000E30041EB
-:0401ED0000E40041E9
-:0401EE0000E50042E6
-:0401EF0000E60042E4
-:0401F00000E70042E2
-:0401F10000E80042E0
-:0401F20000E90043DD
-:0401F30000EA0043DB
-:0401F40000EB0043D9
-:0401F50000EC0044D6
-:0401F60000EC0044D5
-:0401F70000ED0044D3
-:0401F80000EE0044D1
-:0401F90000EF0045CE
-:0401FA0000F00045CC
-:0401FB0000F10045CA
-:0401FC0000F20045C8
-:0401FD0000F30046C5
-:0401FE0000F40046C3
-:0401FF0000F50046C1
-:0402000000B900350C
-:0402010000BA00350A
-:0402020000BA003509
-:0402030000BB003606
-:0402040000BC003604
-:0402050000BD003602
-:0402060000BE0037FF
-:0402070000BF0037FD
-:0402080000C00037FB
-:0402090000C10037F9
-:04020A0000C20038F6
-:04020B0000C30038F4
-:04020C0000C40038F2
-:04020D0000C50039EF
-:04020E0000C60039ED
-:04020F0000C70039EB
-:0402100000C80039E9
-:0402110000C9003AE6
-:0402120000CA003AE4
-:0402130000CB003AE2
-:0402140000CC003AE0
-:0402150000CD003BDD
-:0402160000CE003BDB
-:0402170000CF003BD9
-:0402180000D0003CD6
-:0402190000D1003CD4
-:04021A0000D2003CD2
-:04021B0000D3003CD0
-:04021C0000D3003DCE
-:04021D0000D4003DCC
-:04021E0000D5003DCA
-:04021F0000D6003DC8
-:0402200000D7003EC5
-:0402210000D8003EC3
-:0402220000D9003EC1
-:0402230000DA003FBE
-:0402240000DB003FBC
-:0402250000DC003FBA
-:0402260000DD003FB8
-:0402270000DE0040B5
-:0402280000DF0040B3
-:0402290000E00040B1
-:04022A0000E10040AF
-:04022B0000E20041AC
-:04022C0000E30041AA
-:04022D0000E40041A8
-:04022E0000E50042A5
-:04022F0000E60042A3
-:0402300000E70042A1
-:0402310000E800429F
-:0402320000E900439C
-:0402330000EA00439A
-:0402340000EB004398
-:0402350000EC004495
-:0402360000EC004494
-:0402370000ED004492
-:0402380000EE004490
-:0402390000EF00458D
-:04023A0000F000458B
-:04023B0000F1004589
-:04023C0000F2004587
-:04023D0000F3004684
-:04023E0000F4004682
-:04023F0000F5004680
-:0402400000B90035CC
-:0402410000BA0035CA
-:0402420000BA0035C9
-:0402430000BB0036C6
-:0402440000BC0036C4
-:0402450000BD0036C2
-:0402460000BE0037BF
-:0402470000BF0037BD
-:0402480000C00037BB
-:0402490000C10037B9
-:04024A0000C20038B6
-:04024B0000C30038B4
-:04024C0000C40038B2
-:04024D0000C50039AF
-:04024E0000C60039AD
-:04024F0000C70039AB
-:0402500000C80039A9
-:0402510000C9003AA6
-:0402520000CA003AA4
-:0402530000CB003AA2
-:0402540000CC003AA0
-:0402550000CD003B9D
-:0402560000CE003B9B
-:0402570000CF003B99
-:0402580000D0003C96
-:0402590000D1003C94
-:04025A0000D2003C92
-:04025B0000D3003C90
-:04025C0000D3003D8E
-:04025D0000D4003D8C
-:04025E0000D5003D8A
-:04025F0000D6003D88
-:0402600000D7003E85
-:0402610000D8003E83
-:0402620000D9003E81
-:0402630000DA003F7E
-:0402640000DB003F7C
-:0402650000DC003F7A
-:0402660000DD003F78
-:0402670000DE004075
-:0402680000DF004073
-:0402690000E0004071
-:04026A0000E100406F
-:04026B0000E200416C
-:04026C0000E300416A
-:04026D0000E4004168
-:04026E0000E5004265
-:04026F0000E6004263
-:0402700000E7004261
-:0402710000E800425F
-:0402720000E900435C
-:0402730000EA00435A
-:0402740000EB004358
-:0402750000EC004455
-:0402760000EC004454
-:0402770000ED004452
-:0402780000EE004450
-:0402790000EF00454D
-:04027A0000F000454B
-:04027B0000F1004549
-:04027C0000F2004547
-:04027D0000F3004644
-:04027E0000F4004642
-:04027F0000F5004640
-:0402800000B900358C
-:0402810000BA00358A
-:0402820000BA003589
-:0402830000BB003686
-:0402840000BC003684
-:0402850000BD003682
-:0402860000BE00377F
-:0402870000BF00377D
-:0402880000C000377B
-:0402890000C1003779
-:04028A0000C2003876
-:04028B0000C3003874
-:04028C0000C4003872
-:04028D0000C500396F
-:04028E0000C600396D
-:04028F0000C700396B
-:0402900000C8003969
-:0402910000C9003A66
-:0402920000CA003A64
-:0402930000CB003A62
-:0402940000CC003A60
-:0402950000CD003B5D
-:0402960000CE003B5B
-:0402970000CF003B59
-:0402980000D0003C56
-:0402990000D1003C54
-:04029A0000D2003C52
-:04029B0000D3003C50
-:04029C0000D3003D4E
-:04029D0000D4003D4C
-:04029E0000D5003D4A
-:04029F0000D6003D48
-:0402A00000D7003E45
-:0402A10000D8003E43
-:0402A20000D9003E41
-:0402A30000DA003F3E
-:0402A40000DB003F3C
-:0402A50000DC003F3A
-:0402A60000DD003F38
-:0402A70000DE004035
-:0402A80000DF004033
-:0402A90000E0004031
-:0402AA0000E100402F
-:0402AB0000E200412C
-:0402AC0000E300412A
-:0402AD0000E4004128
-:0402AE0000E5004225
-:0402AF0000E6004223
-:0402B00000E7004221
-:0402B10000E800421F
-:0402B20000E900431C
-:0402B30000EA00431A
-:0402B40000EB004318
-:0402B50000EC004415
-:0402B60000EC004414
-:0402B70000ED004412
-:0402B80000EE004410
-:0402B90000EF00450D
-:0402BA0000F000450B
-:0402BB0000F1004509
-:0402BC0000F2004507
-:0402BD0000F3004604
-:0402BE0000F4004602
-:0402BF0000F5004600
-:0402C00000B900354C
-:0402C10000BA00354A
-:0402C20000BA003549
-:0402C30000BB003646
-:0402C40000BC003644
-:0402C50000BD003642
-:0402C60000BE00373F
-:0402C70000BF00373D
-:0402C80000C000373B
-:0402C90000C1003739
-:0402CA0000C2003836
-:0402CB0000C3003834
-:0402CC0000C4003832
-:0402CD0000C500392F
-:0402CE0000C600392D
-:0402CF0000C700392B
-:0402D00000C8003929
-:0402D10000C9003A26
-:0402D20000CA003A24
-:0402D30000CB003A22
-:0402D40000CC003A20
-:0402D50000CD003B1D
-:0402D60000CE003B1B
-:0402D70000CF003B19
-:0402D80000D0003C16
-:0402D90000D1003C14
-:0402DA0000D2003C12
-:0402DB0000D3003C10
-:0402DC0000D3003D0E
-:0402DD0000D4003D0C
-:0402DE0000D5003D0A
-:0402DF0000D6003D08
-:0402E00000D7003E05
-:0402E10000D8003E03
-:0402E20000D9003E01
-:0402E30000DA003FFE
-:0402E40000DB003FFC
-:0402E50000DC003FFA
-:0402E60000DD003FF8
-:0402E70000DE0040F5
-:0402E80000DF0040F3
-:0402E90000E00040F1
-:0402EA0000E10040EF
-:0402EB0000E20041EC
-:0402EC0000E30041EA
-:0402ED0000E40041E8
-:0402EE0000E50042E5
-:0402EF0000E60042E3
-:0402F00000E70042E1
-:0402F10000E80042DF
-:0402F20000E90043DC
-:0402F30000EA0043DA
-:0402F40000EB0043D8
-:0402F50000EC0044D5
-:0402F60000EC0044D4
-:0402F70000ED0044D2
-:0402F80000EE0044D0
-:0402F90000EF0045CD
-:0402FA0000F00045CB
-:0402FB0000F10045C9
-:0402FC0000F20045C7
-:0402FD0000F30046C4
-:0402FE0000F40046C2
-:0402FF0000F50046C0
-:0403000000B900350B
-:0403010000BA003509
-:0403020000BA003508
-:0403030000BB003605
-:0403040000BC003603
-:0403050000BD003601
-:0403060000BE0037FE
-:0403070000BF0037FC
-:0403080000C00037FA
-:0403090000C10037F8
-:04030A0000C20038F5
-:04030B0000C30038F3
-:04030C0000C40038F1
-:04030D0000C50039EE
-:04030E0000C60039EC
-:04030F0000C70039EA
-:0403100000C80039E8
-:0403110000C9003AE5
-:0403120000CA003AE3
-:0403130000CB003AE1
-:0403140000CC003ADF
-:0403150000CD003BDC
-:0403160000CE003BDA
-:0403170000CF003BD8
-:0403180000D0003CD5
-:0403190000D1003CD3
-:04031A0000D2003CD1
-:04031B0000D3003CCF
-:04031C0000D3003DCD
-:04031D0000D4003DCB
-:04031E0000D5003DC9
-:04031F0000D6003DC7
-:0403200000D7003EC4
-:0403210000D8003EC2
-:0403220000D9003EC0
-:0403230000DA003FBD
-:0403240000DB003FBB
-:0403250000DC003FB9
-:0403260000DD003FB7
-:0403270000DE0040B4
-:0403280000DF0040B2
-:0403290000E00040B0
-:04032A0000E10040AE
-:04032B0000E20041AB
-:04032C0000E30041A9
-:04032D0000E40041A7
-:04032E0000E50042A4
-:04032F0000E60042A2
-:0403300000E70042A0
-:0403310000E800429E
-:0403320000E900439B
-:0403330000EA004399
-:0403340000EB004397
-:0403350000EC004494
-:0403360000EC004493
-:0403370000ED004491
-:0403380000EE00448F
-:0403390000EF00458C
-:04033A0000F000458A
-:04033B0000F1004588
-:04033C0000F2004586
-:04033D0000F3004683
-:04033E0000F4004681
-:04033F0000F500467F
-:0403400000B90035CB
-:0403410000BA0035C9
-:0403420000BA0035C8
-:0403430000BB0036C5
-:0403440000BC0036C3
-:0403450000BD0036C1
-:0403460000BE0037BE
-:0403470000BF0037BC
-:0403480000C00037BA
-:0403490000C10037B8
-:04034A0000C20038B5
-:04034B0000C30038B3
-:04034C0000C40038B1
-:04034D0000C50039AE
-:04034E0000C60039AC
-:04034F0000C70039AA
-:0403500000C80039A8
-:0403510000C9003AA5
-:0403520000CA003AA3
-:0403530000CB003AA1
-:0403540000CC003A9F
-:0403550000CD003B9C
-:0403560000CE003B9A
-:0403570000CF003B98
-:0403580000D0003C95
-:0403590000D1003C93
-:04035A0000D2003C91
-:04035B0000D3003C8F
-:04035C0000D3003D8D
-:04035D0000D4003D8B
-:04035E0000D5003D89
-:04035F0000D6003D87
-:0403600000D7003E84
-:0403610000D8003E82
-:0403620000D9003E80
-:0403630000DA003F7D
-:0403640000DB003F7B
-:0403650000DC003F79
-:0403660000DD003F77
-:0403670000DE004074
-:0403680000DF004072
-:0403690000E0004070
-:04036A0000E100406E
-:04036B0000E200416B
-:04036C0000E3004169
-:04036D0000E4004167
-:04036E0000E5004264
-:04036F0000E6004262
-:0403700000E7004260
-:0403710000E800425E
-:0403720000E900435B
-:0403730000EA004359
-:0403740000EB004357
-:0403750000EC004454
-:0403760000EC004453
-:0403770000ED004451
-:0403780000EE00444F
-:0403790000EF00454C
-:04037A0000F000454A
-:04037B0000F1004548
-:04037C0000F2004546
-:04037D0000F3004643
-:04037E0000F4004641
-:04037F0000F500463F
-:0403800000B900358B
-:0403810000BA003589
-:0403820000BA003588
-:0403830000BB003685
-:0403840000BC003683
-:0403850000BD003681
-:0403860000BE00377E
-:0403870000BF00377C
-:0403880000C000377A
-:0403890000C1003778
-:04038A0000C2003875
-:04038B0000C3003873
-:04038C0000C4003871
-:04038D0000C500396E
-:04038E0000C600396C
-:04038F0000C700396A
-:0403900000C8003968
-:0403910000C9003A65
-:0403920000CA003A63
-:0403930000CB003A61
-:0403940000CC003A5F
-:0403950000CD003B5C
-:0403960000CE003B5A
-:0403970000CF003B58
-:0403980000D0003C55
-:0403990000D1003C53
-:04039A0000D2003C51
-:04039B0000D3003C4F
-:04039C0000D3003D4D
-:04039D0000D4003D4B
-:04039E0000D5003D49
-:04039F0000D6003D47
-:0403A00000D7003E44
-:0403A10000D8003E42
-:0403A20000D9003E40
-:0403A30000DA003F3D
-:0403A40000DB003F3B
-:0403A50000DC003F39
-:0403A60000DD003F37
-:0403A70000DE004034
-:0403A80000DF004032
-:0403A90000E0004030
-:0403AA0000E100402E
-:0403AB0000E200412B
-:0403AC0000E3004129
-:0403AD0000E4004127
-:0403AE0000E5004224
-:0403AF0000E6004222
-:0403B00000E7004220
-:0403B10000E800421E
-:0403B20000E900431B
-:0403B30000EA004319
-:0403B40000EB004317
-:0403B50000EC004414
-:0403B60000EC004413
-:0403B70000ED004411
-:0403B80000EE00440F
-:0403B90000EF00450C
-:0403BA0000F000450A
-:0403BB0000F1004508
-:0403BC0000F2004506
-:0403BD0000F3004603
-:0403BE0000F4004601
-:0403BF0000F50046FF
-:0403C00000B900354B
-:0403C10000BA003549
-:0403C20000BA003548
-:0403C30000BB003645
-:0403C40000BC003643
-:0403C50000BD003641
-:0403C60000BE00373E
-:0403C70000BF00373C
-:0403C80000C000373A
-:0403C90000C1003738
-:0403CA0000C2003835
-:0403CB0000C3003833
-:0403CC0000C4003831
-:0403CD0000C500392E
-:0403CE0000C600392C
-:0403CF0000C700392A
-:0403D00000C8003928
-:0403D10000C9003A25
-:0403D20000CA003A23
-:0403D30000CB003A21
-:0403D40000CC003A1F
-:0403D50000CD003B1C
-:0403D60000CE003B1A
-:0403D70000CF003B18
-:0403D80000D0003C15
-:0403D90000D1003C13
-:0403DA0000D2003C11
-:0403DB0000D3003C0F
-:0403DC0000D3003D0D
-:0403DD0000D4003D0B
-:0403DE0000D5003D09
-:0403DF0000D6003D07
-:0403E00000D7003E04
-:0403E10000D8003E02
-:0403E20000D9003E00
-:0403E30000DA003FFD
-:0403E40000DB003FFB
-:0403E50000DC003FF9
-:0403E60000DD003FF7
-:0403E70000DE0040F4
-:0403E80000DF0040F2
-:0403E90000E00040F0
-:0403EA0000E10040EE
-:0403EB0000E20041EB
-:0403EC0000E30041E9
-:0403ED0000E40041E7
-:0403EE0000E50042E4
-:0403EF0000E60042E2
-:0403F00000E70042E0
-:0403F10000E80042DE
-:0403F20000E90043DB
-:0403F30000EA0043D9
-:0403F40000EB0043D7
-:0403F50000EC0044D4
-:0403F60000EC0044D3
-:0403F70000ED0044D1
-:0403F80000EE0044CF
-:0403F90000EF0045CC
-:0403FA0000F00045CA
-:0403FB0000F10045C8
-:0403FC0000F20045C6
-:0403FD0000F30046C3
-:0403FE0000F40046C1
-:0403FF0000F50046BF
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_16.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_16.hex
index 8fd085394348b2f91f5480b490b6e363b5983ab9..9002b4a620fa02c91006bd19367b2946722e5626 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_16.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_16.hex
@@ -62,964 +62,4 @@
 :04003D0000F2004A83
 :04003E0000F3004A81
 :04003F0000F4004B7E
-:0400400000B80038CC
-:0400410000B90038CA
-:0400420000BA0039C7
-:0400430000BA0039C6
-:0400440000BB0039C4
-:0400450000BC003AC1
-:0400460000BD003ABF
-:0400470000BE003ABD
-:0400480000BF003ABB
-:0400490000C0003BB8
-:04004A0000C1003BB6
-:04004B0000C2003BB4
-:04004C0000C3003CB1
-:04004D0000C4003CAF
-:04004E0000C5003CAD
-:04004F0000C6003DAA
-:0400500000C7003DA8
-:0400510000C8003DA6
-:0400520000C9003DA4
-:0400530000CA003EA1
-:0400540000CB003E9F
-:0400550000CC003E9D
-:0400560000CD003F9A
-:0400570000CE003F98
-:0400580000CF003F96
-:0400590000D0003F94
-:04005A0000D0004092
-:04005B0000D1004090
-:04005C0000D200408E
-:04005D0000D300418B
-:04005E0000D4004189
-:04005F0000D5004187
-:0400600000D6004185
-:0400610000D7004282
-:0400620000D8004280
-:0400630000D900427E
-:0400640000DA00437B
-:0400650000DB004379
-:0400660000DC004377
-:0400670000DD004474
-:0400680000DE004472
-:0400690000DF004470
-:04006A0000E000446E
-:04006B0000E100456B
-:04006C0000E2004569
-:04006D0000E3004567
-:04006E0000E4004664
-:04006F0000E5004662
-:0400700000E6004660
-:0400710000E600465F
-:0400720000E700475C
-:0400730000E800475A
-:0400740000E9004758
-:0400750000EA004855
-:0400760000EB004853
-:0400770000EC004851
-:0400780000ED00494E
-:0400790000EE00494C
-:04007A0000EF00494A
-:04007B0000F0004948
-:04007C0000F1004A45
-:04007D0000F2004A43
-:04007E0000F3004A41
-:04007F0000F4004B3E
-:0400800000B800388C
-:0400810000B900388A
-:0400820000BA003987
-:0400830000BA003986
-:0400840000BB003984
-:0400850000BC003A81
-:0400860000BD003A7F
-:0400870000BE003A7D
-:0400880000BF003A7B
-:0400890000C0003B78
-:04008A0000C1003B76
-:04008B0000C2003B74
-:04008C0000C3003C71
-:04008D0000C4003C6F
-:04008E0000C5003C6D
-:04008F0000C6003D6A
-:0400900000C7003D68
-:0400910000C8003D66
-:0400920000C9003D64
-:0400930000CA003E61
-:0400940000CB003E5F
-:0400950000CC003E5D
-:0400960000CD003F5A
-:0400970000CE003F58
-:0400980000CF003F56
-:0400990000D0003F54
-:04009A0000D0004052
-:04009B0000D1004050
-:04009C0000D200404E
-:04009D0000D300414B
-:04009E0000D4004149
-:04009F0000D5004147
-:0400A00000D6004145
-:0400A10000D7004242
-:0400A20000D8004240
-:0400A30000D900423E
-:0400A40000DA00433B
-:0400A50000DB004339
-:0400A60000DC004337
-:0400A70000DD004434
-:0400A80000DE004432
-:0400A90000DF004430
-:0400AA0000E000442E
-:0400AB0000E100452B
-:0400AC0000E2004529
-:0400AD0000E3004527
-:0400AE0000E4004624
-:0400AF0000E5004622
-:0400B00000E6004620
-:0400B10000E600461F
-:0400B20000E700471C
-:0400B30000E800471A
-:0400B40000E9004718
-:0400B50000EA004815
-:0400B60000EB004813
-:0400B70000EC004811
-:0400B80000ED00490E
-:0400B90000EE00490C
-:0400BA0000EF00490A
-:0400BB0000F0004908
-:0400BC0000F1004A05
-:0400BD0000F2004A03
-:0400BE0000F3004A01
-:0400BF0000F4004BFE
-:0400C00000B800384C
-:0400C10000B900384A
-:0400C20000BA003947
-:0400C30000BA003946
-:0400C40000BB003944
-:0400C50000BC003A41
-:0400C60000BD003A3F
-:0400C70000BE003A3D
-:0400C80000BF003A3B
-:0400C90000C0003B38
-:0400CA0000C1003B36
-:0400CB0000C2003B34
-:0400CC0000C3003C31
-:0400CD0000C4003C2F
-:0400CE0000C5003C2D
-:0400CF0000C6003D2A
-:0400D00000C7003D28
-:0400D10000C8003D26
-:0400D20000C9003D24
-:0400D30000CA003E21
-:0400D40000CB003E1F
-:0400D50000CC003E1D
-:0400D60000CD003F1A
-:0400D70000CE003F18
-:0400D80000CF003F16
-:0400D90000D0003F14
-:0400DA0000D0004012
-:0400DB0000D1004010
-:0400DC0000D200400E
-:0400DD0000D300410B
-:0400DE0000D4004109
-:0400DF0000D5004107
-:0400E00000D6004105
-:0400E10000D7004202
-:0400E20000D8004200
-:0400E30000D90042FE
-:0400E40000DA0043FB
-:0400E50000DB0043F9
-:0400E60000DC0043F7
-:0400E70000DD0044F4
-:0400E80000DE0044F2
-:0400E90000DF0044F0
-:0400EA0000E00044EE
-:0400EB0000E10045EB
-:0400EC0000E20045E9
-:0400ED0000E30045E7
-:0400EE0000E40046E4
-:0400EF0000E50046E2
-:0400F00000E60046E0
-:0400F10000E60046DF
-:0400F20000E70047DC
-:0400F30000E80047DA
-:0400F40000E90047D8
-:0400F50000EA0048D5
-:0400F60000EB0048D3
-:0400F70000EC0048D1
-:0400F80000ED0049CE
-:0400F90000EE0049CC
-:0400FA0000EF0049CA
-:0400FB0000F00049C8
-:0400FC0000F1004AC5
-:0400FD0000F2004AC3
-:0400FE0000F3004AC1
-:0400FF0000F4004BBE
-:0401000000B800380B
-:0401010000B9003809
-:0401020000BA003906
-:0401030000BA003905
-:0401040000BB003903
-:0401050000BC003A00
-:0401060000BD003AFE
-:0401070000BE003AFC
-:0401080000BF003AFA
-:0401090000C0003BF7
-:04010A0000C1003BF5
-:04010B0000C2003BF3
-:04010C0000C3003CF0
-:04010D0000C4003CEE
-:04010E0000C5003CEC
-:04010F0000C6003DE9
-:0401100000C7003DE7
-:0401110000C8003DE5
-:0401120000C9003DE3
-:0401130000CA003EE0
-:0401140000CB003EDE
-:0401150000CC003EDC
-:0401160000CD003FD9
-:0401170000CE003FD7
-:0401180000CF003FD5
-:0401190000D0003FD3
-:04011A0000D00040D1
-:04011B0000D10040CF
-:04011C0000D20040CD
-:04011D0000D30041CA
-:04011E0000D40041C8
-:04011F0000D50041C6
-:0401200000D60041C4
-:0401210000D70042C1
-:0401220000D80042BF
-:0401230000D90042BD
-:0401240000DA0043BA
-:0401250000DB0043B8
-:0401260000DC0043B6
-:0401270000DD0044B3
-:0401280000DE0044B1
-:0401290000DF0044AF
-:04012A0000E00044AD
-:04012B0000E10045AA
-:04012C0000E20045A8
-:04012D0000E30045A6
-:04012E0000E40046A3
-:04012F0000E50046A1
-:0401300000E600469F
-:0401310000E600469E
-:0401320000E700479B
-:0401330000E8004799
-:0401340000E9004797
-:0401350000EA004894
-:0401360000EB004892
-:0401370000EC004890
-:0401380000ED00498D
-:0401390000EE00498B
-:04013A0000EF004989
-:04013B0000F0004987
-:04013C0000F1004A84
-:04013D0000F2004A82
-:04013E0000F3004A80
-:04013F0000F4004B7D
-:0401400000B80038CB
-:0401410000B90038C9
-:0401420000BA0039C6
-:0401430000BA0039C5
-:0401440000BB0039C3
-:0401450000BC003AC0
-:0401460000BD003ABE
-:0401470000BE003ABC
-:0401480000BF003ABA
-:0401490000C0003BB7
-:04014A0000C1003BB5
-:04014B0000C2003BB3
-:04014C0000C3003CB0
-:04014D0000C4003CAE
-:04014E0000C5003CAC
-:04014F0000C6003DA9
-:0401500000C7003DA7
-:0401510000C8003DA5
-:0401520000C9003DA3
-:0401530000CA003EA0
-:0401540000CB003E9E
-:0401550000CC003E9C
-:0401560000CD003F99
-:0401570000CE003F97
-:0401580000CF003F95
-:0401590000D0003F93
-:04015A0000D0004091
-:04015B0000D100408F
-:04015C0000D200408D
-:04015D0000D300418A
-:04015E0000D4004188
-:04015F0000D5004186
-:0401600000D6004184
-:0401610000D7004281
-:0401620000D800427F
-:0401630000D900427D
-:0401640000DA00437A
-:0401650000DB004378
-:0401660000DC004376
-:0401670000DD004473
-:0401680000DE004471
-:0401690000DF00446F
-:04016A0000E000446D
-:04016B0000E100456A
-:04016C0000E2004568
-:04016D0000E3004566
-:04016E0000E4004663
-:04016F0000E5004661
-:0401700000E600465F
-:0401710000E600465E
-:0401720000E700475B
-:0401730000E8004759
-:0401740000E9004757
-:0401750000EA004854
-:0401760000EB004852
-:0401770000EC004850
-:0401780000ED00494D
-:0401790000EE00494B
-:04017A0000EF004949
-:04017B0000F0004947
-:04017C0000F1004A44
-:04017D0000F2004A42
-:04017E0000F3004A40
-:04017F0000F4004B3D
-:0401800000B800388B
-:0401810000B9003889
-:0401820000BA003986
-:0401830000BA003985
-:0401840000BB003983
-:0401850000BC003A80
-:0401860000BD003A7E
-:0401870000BE003A7C
-:0401880000BF003A7A
-:0401890000C0003B77
-:04018A0000C1003B75
-:04018B0000C2003B73
-:04018C0000C3003C70
-:04018D0000C4003C6E
-:04018E0000C5003C6C
-:04018F0000C6003D69
-:0401900000C7003D67
-:0401910000C8003D65
-:0401920000C9003D63
-:0401930000CA003E60
-:0401940000CB003E5E
-:0401950000CC003E5C
-:0401960000CD003F59
-:0401970000CE003F57
-:0401980000CF003F55
-:0401990000D0003F53
-:04019A0000D0004051
-:04019B0000D100404F
-:04019C0000D200404D
-:04019D0000D300414A
-:04019E0000D4004148
-:04019F0000D5004146
-:0401A00000D6004144
-:0401A10000D7004241
-:0401A20000D800423F
-:0401A30000D900423D
-:0401A40000DA00433A
-:0401A50000DB004338
-:0401A60000DC004336
-:0401A70000DD004433
-:0401A80000DE004431
-:0401A90000DF00442F
-:0401AA0000E000442D
-:0401AB0000E100452A
-:0401AC0000E2004528
-:0401AD0000E3004526
-:0401AE0000E4004623
-:0401AF0000E5004621
-:0401B00000E600461F
-:0401B10000E600461E
-:0401B20000E700471B
-:0401B30000E8004719
-:0401B40000E9004717
-:0401B50000EA004814
-:0401B60000EB004812
-:0401B70000EC004810
-:0401B80000ED00490D
-:0401B90000EE00490B
-:0401BA0000EF004909
-:0401BB0000F0004907
-:0401BC0000F1004A04
-:0401BD0000F2004A02
-:0401BE0000F3004A00
-:0401BF0000F4004BFD
-:0401C00000B800384B
-:0401C10000B9003849
-:0401C20000BA003946
-:0401C30000BA003945
-:0401C40000BB003943
-:0401C50000BC003A40
-:0401C60000BD003A3E
-:0401C70000BE003A3C
-:0401C80000BF003A3A
-:0401C90000C0003B37
-:0401CA0000C1003B35
-:0401CB0000C2003B33
-:0401CC0000C3003C30
-:0401CD0000C4003C2E
-:0401CE0000C5003C2C
-:0401CF0000C6003D29
-:0401D00000C7003D27
-:0401D10000C8003D25
-:0401D20000C9003D23
-:0401D30000CA003E20
-:0401D40000CB003E1E
-:0401D50000CC003E1C
-:0401D60000CD003F19
-:0401D70000CE003F17
-:0401D80000CF003F15
-:0401D90000D0003F13
-:0401DA0000D0004011
-:0401DB0000D100400F
-:0401DC0000D200400D
-:0401DD0000D300410A
-:0401DE0000D4004108
-:0401DF0000D5004106
-:0401E00000D6004104
-:0401E10000D7004201
-:0401E20000D80042FF
-:0401E30000D90042FD
-:0401E40000DA0043FA
-:0401E50000DB0043F8
-:0401E60000DC0043F6
-:0401E70000DD0044F3
-:0401E80000DE0044F1
-:0401E90000DF0044EF
-:0401EA0000E00044ED
-:0401EB0000E10045EA
-:0401EC0000E20045E8
-:0401ED0000E30045E6
-:0401EE0000E40046E3
-:0401EF0000E50046E1
-:0401F00000E60046DF
-:0401F10000E60046DE
-:0401F20000E70047DB
-:0401F30000E80047D9
-:0401F40000E90047D7
-:0401F50000EA0048D4
-:0401F60000EB0048D2
-:0401F70000EC0048D0
-:0401F80000ED0049CD
-:0401F90000EE0049CB
-:0401FA0000EF0049C9
-:0401FB0000F00049C7
-:0401FC0000F1004AC4
-:0401FD0000F2004AC2
-:0401FE0000F3004AC0
-:0401FF0000F4004BBD
-:0402000000B800380A
-:0402010000B9003808
-:0402020000BA003905
-:0402030000BA003904
-:0402040000BB003902
-:0402050000BC003AFF
-:0402060000BD003AFD
-:0402070000BE003AFB
-:0402080000BF003AF9
-:0402090000C0003BF6
-:04020A0000C1003BF4
-:04020B0000C2003BF2
-:04020C0000C3003CEF
-:04020D0000C4003CED
-:04020E0000C5003CEB
-:04020F0000C6003DE8
-:0402100000C7003DE6
-:0402110000C8003DE4
-:0402120000C9003DE2
-:0402130000CA003EDF
-:0402140000CB003EDD
-:0402150000CC003EDB
-:0402160000CD003FD8
-:0402170000CE003FD6
-:0402180000CF003FD4
-:0402190000D0003FD2
-:04021A0000D00040D0
-:04021B0000D10040CE
-:04021C0000D20040CC
-:04021D0000D30041C9
-:04021E0000D40041C7
-:04021F0000D50041C5
-:0402200000D60041C3
-:0402210000D70042C0
-:0402220000D80042BE
-:0402230000D90042BC
-:0402240000DA0043B9
-:0402250000DB0043B7
-:0402260000DC0043B5
-:0402270000DD0044B2
-:0402280000DE0044B0
-:0402290000DF0044AE
-:04022A0000E00044AC
-:04022B0000E10045A9
-:04022C0000E20045A7
-:04022D0000E30045A5
-:04022E0000E40046A2
-:04022F0000E50046A0
-:0402300000E600469E
-:0402310000E600469D
-:0402320000E700479A
-:0402330000E8004798
-:0402340000E9004796
-:0402350000EA004893
-:0402360000EB004891
-:0402370000EC00488F
-:0402380000ED00498C
-:0402390000EE00498A
-:04023A0000EF004988
-:04023B0000F0004986
-:04023C0000F1004A83
-:04023D0000F2004A81
-:04023E0000F3004A7F
-:04023F0000F4004B7C
-:0402400000B80038CA
-:0402410000B90038C8
-:0402420000BA0039C5
-:0402430000BA0039C4
-:0402440000BB0039C2
-:0402450000BC003ABF
-:0402460000BD003ABD
-:0402470000BE003ABB
-:0402480000BF003AB9
-:0402490000C0003BB6
-:04024A0000C1003BB4
-:04024B0000C2003BB2
-:04024C0000C3003CAF
-:04024D0000C4003CAD
-:04024E0000C5003CAB
-:04024F0000C6003DA8
-:0402500000C7003DA6
-:0402510000C8003DA4
-:0402520000C9003DA2
-:0402530000CA003E9F
-:0402540000CB003E9D
-:0402550000CC003E9B
-:0402560000CD003F98
-:0402570000CE003F96
-:0402580000CF003F94
-:0402590000D0003F92
-:04025A0000D0004090
-:04025B0000D100408E
-:04025C0000D200408C
-:04025D0000D3004189
-:04025E0000D4004187
-:04025F0000D5004185
-:0402600000D6004183
-:0402610000D7004280
-:0402620000D800427E
-:0402630000D900427C
-:0402640000DA004379
-:0402650000DB004377
-:0402660000DC004375
-:0402670000DD004472
-:0402680000DE004470
-:0402690000DF00446E
-:04026A0000E000446C
-:04026B0000E1004569
-:04026C0000E2004567
-:04026D0000E3004565
-:04026E0000E4004662
-:04026F0000E5004660
-:0402700000E600465E
-:0402710000E600465D
-:0402720000E700475A
-:0402730000E8004758
-:0402740000E9004756
-:0402750000EA004853
-:0402760000EB004851
-:0402770000EC00484F
-:0402780000ED00494C
-:0402790000EE00494A
-:04027A0000EF004948
-:04027B0000F0004946
-:04027C0000F1004A43
-:04027D0000F2004A41
-:04027E0000F3004A3F
-:04027F0000F4004B3C
-:0402800000B800388A
-:0402810000B9003888
-:0402820000BA003985
-:0402830000BA003984
-:0402840000BB003982
-:0402850000BC003A7F
-:0402860000BD003A7D
-:0402870000BE003A7B
-:0402880000BF003A79
-:0402890000C0003B76
-:04028A0000C1003B74
-:04028B0000C2003B72
-:04028C0000C3003C6F
-:04028D0000C4003C6D
-:04028E0000C5003C6B
-:04028F0000C6003D68
-:0402900000C7003D66
-:0402910000C8003D64
-:0402920000C9003D62
-:0402930000CA003E5F
-:0402940000CB003E5D
-:0402950000CC003E5B
-:0402960000CD003F58
-:0402970000CE003F56
-:0402980000CF003F54
-:0402990000D0003F52
-:04029A0000D0004050
-:04029B0000D100404E
-:04029C0000D200404C
-:04029D0000D3004149
-:04029E0000D4004147
-:04029F0000D5004145
-:0402A00000D6004143
-:0402A10000D7004240
-:0402A20000D800423E
-:0402A30000D900423C
-:0402A40000DA004339
-:0402A50000DB004337
-:0402A60000DC004335
-:0402A70000DD004432
-:0402A80000DE004430
-:0402A90000DF00442E
-:0402AA0000E000442C
-:0402AB0000E1004529
-:0402AC0000E2004527
-:0402AD0000E3004525
-:0402AE0000E4004622
-:0402AF0000E5004620
-:0402B00000E600461E
-:0402B10000E600461D
-:0402B20000E700471A
-:0402B30000E8004718
-:0402B40000E9004716
-:0402B50000EA004813
-:0402B60000EB004811
-:0402B70000EC00480F
-:0402B80000ED00490C
-:0402B90000EE00490A
-:0402BA0000EF004908
-:0402BB0000F0004906
-:0402BC0000F1004A03
-:0402BD0000F2004A01
-:0402BE0000F3004AFF
-:0402BF0000F4004BFC
-:0402C00000B800384A
-:0402C10000B9003848
-:0402C20000BA003945
-:0402C30000BA003944
-:0402C40000BB003942
-:0402C50000BC003A3F
-:0402C60000BD003A3D
-:0402C70000BE003A3B
-:0402C80000BF003A39
-:0402C90000C0003B36
-:0402CA0000C1003B34
-:0402CB0000C2003B32
-:0402CC0000C3003C2F
-:0402CD0000C4003C2D
-:0402CE0000C5003C2B
-:0402CF0000C6003D28
-:0402D00000C7003D26
-:0402D10000C8003D24
-:0402D20000C9003D22
-:0402D30000CA003E1F
-:0402D40000CB003E1D
-:0402D50000CC003E1B
-:0402D60000CD003F18
-:0402D70000CE003F16
-:0402D80000CF003F14
-:0402D90000D0003F12
-:0402DA0000D0004010
-:0402DB0000D100400E
-:0402DC0000D200400C
-:0402DD0000D3004109
-:0402DE0000D4004107
-:0402DF0000D5004105
-:0402E00000D6004103
-:0402E10000D7004200
-:0402E20000D80042FE
-:0402E30000D90042FC
-:0402E40000DA0043F9
-:0402E50000DB0043F7
-:0402E60000DC0043F5
-:0402E70000DD0044F2
-:0402E80000DE0044F0
-:0402E90000DF0044EE
-:0402EA0000E00044EC
-:0402EB0000E10045E9
-:0402EC0000E20045E7
-:0402ED0000E30045E5
-:0402EE0000E40046E2
-:0402EF0000E50046E0
-:0402F00000E60046DE
-:0402F10000E60046DD
-:0402F20000E70047DA
-:0402F30000E80047D8
-:0402F40000E90047D6
-:0402F50000EA0048D3
-:0402F60000EB0048D1
-:0402F70000EC0048CF
-:0402F80000ED0049CC
-:0402F90000EE0049CA
-:0402FA0000EF0049C8
-:0402FB0000F00049C6
-:0402FC0000F1004AC3
-:0402FD0000F2004AC1
-:0402FE0000F3004ABF
-:0402FF0000F4004BBC
-:0403000000B8003809
-:0403010000B9003807
-:0403020000BA003904
-:0403030000BA003903
-:0403040000BB003901
-:0403050000BC003AFE
-:0403060000BD003AFC
-:0403070000BE003AFA
-:0403080000BF003AF8
-:0403090000C0003BF5
-:04030A0000C1003BF3
-:04030B0000C2003BF1
-:04030C0000C3003CEE
-:04030D0000C4003CEC
-:04030E0000C5003CEA
-:04030F0000C6003DE7
-:0403100000C7003DE5
-:0403110000C8003DE3
-:0403120000C9003DE1
-:0403130000CA003EDE
-:0403140000CB003EDC
-:0403150000CC003EDA
-:0403160000CD003FD7
-:0403170000CE003FD5
-:0403180000CF003FD3
-:0403190000D0003FD1
-:04031A0000D00040CF
-:04031B0000D10040CD
-:04031C0000D20040CB
-:04031D0000D30041C8
-:04031E0000D40041C6
-:04031F0000D50041C4
-:0403200000D60041C2
-:0403210000D70042BF
-:0403220000D80042BD
-:0403230000D90042BB
-:0403240000DA0043B8
-:0403250000DB0043B6
-:0403260000DC0043B4
-:0403270000DD0044B1
-:0403280000DE0044AF
-:0403290000DF0044AD
-:04032A0000E00044AB
-:04032B0000E10045A8
-:04032C0000E20045A6
-:04032D0000E30045A4
-:04032E0000E40046A1
-:04032F0000E500469F
-:0403300000E600469D
-:0403310000E600469C
-:0403320000E7004799
-:0403330000E8004797
-:0403340000E9004795
-:0403350000EA004892
-:0403360000EB004890
-:0403370000EC00488E
-:0403380000ED00498B
-:0403390000EE004989
-:04033A0000EF004987
-:04033B0000F0004985
-:04033C0000F1004A82
-:04033D0000F2004A80
-:04033E0000F3004A7E
-:04033F0000F4004B7B
-:0403400000B80038C9
-:0403410000B90038C7
-:0403420000BA0039C4
-:0403430000BA0039C3
-:0403440000BB0039C1
-:0403450000BC003ABE
-:0403460000BD003ABC
-:0403470000BE003ABA
-:0403480000BF003AB8
-:0403490000C0003BB5
-:04034A0000C1003BB3
-:04034B0000C2003BB1
-:04034C0000C3003CAE
-:04034D0000C4003CAC
-:04034E0000C5003CAA
-:04034F0000C6003DA7
-:0403500000C7003DA5
-:0403510000C8003DA3
-:0403520000C9003DA1
-:0403530000CA003E9E
-:0403540000CB003E9C
-:0403550000CC003E9A
-:0403560000CD003F97
-:0403570000CE003F95
-:0403580000CF003F93
-:0403590000D0003F91
-:04035A0000D000408F
-:04035B0000D100408D
-:04035C0000D200408B
-:04035D0000D3004188
-:04035E0000D4004186
-:04035F0000D5004184
-:0403600000D6004182
-:0403610000D700427F
-:0403620000D800427D
-:0403630000D900427B
-:0403640000DA004378
-:0403650000DB004376
-:0403660000DC004374
-:0403670000DD004471
-:0403680000DE00446F
-:0403690000DF00446D
-:04036A0000E000446B
-:04036B0000E1004568
-:04036C0000E2004566
-:04036D0000E3004564
-:04036E0000E4004661
-:04036F0000E500465F
-:0403700000E600465D
-:0403710000E600465C
-:0403720000E7004759
-:0403730000E8004757
-:0403740000E9004755
-:0403750000EA004852
-:0403760000EB004850
-:0403770000EC00484E
-:0403780000ED00494B
-:0403790000EE004949
-:04037A0000EF004947
-:04037B0000F0004945
-:04037C0000F1004A42
-:04037D0000F2004A40
-:04037E0000F3004A3E
-:04037F0000F4004B3B
-:0403800000B8003889
-:0403810000B9003887
-:0403820000BA003984
-:0403830000BA003983
-:0403840000BB003981
-:0403850000BC003A7E
-:0403860000BD003A7C
-:0403870000BE003A7A
-:0403880000BF003A78
-:0403890000C0003B75
-:04038A0000C1003B73
-:04038B0000C2003B71
-:04038C0000C3003C6E
-:04038D0000C4003C6C
-:04038E0000C5003C6A
-:04038F0000C6003D67
-:0403900000C7003D65
-:0403910000C8003D63
-:0403920000C9003D61
-:0403930000CA003E5E
-:0403940000CB003E5C
-:0403950000CC003E5A
-:0403960000CD003F57
-:0403970000CE003F55
-:0403980000CF003F53
-:0403990000D0003F51
-:04039A0000D000404F
-:04039B0000D100404D
-:04039C0000D200404B
-:04039D0000D3004148
-:04039E0000D4004146
-:04039F0000D5004144
-:0403A00000D6004142
-:0403A10000D700423F
-:0403A20000D800423D
-:0403A30000D900423B
-:0403A40000DA004338
-:0403A50000DB004336
-:0403A60000DC004334
-:0403A70000DD004431
-:0403A80000DE00442F
-:0403A90000DF00442D
-:0403AA0000E000442B
-:0403AB0000E1004528
-:0403AC0000E2004526
-:0403AD0000E3004524
-:0403AE0000E4004621
-:0403AF0000E500461F
-:0403B00000E600461D
-:0403B10000E600461C
-:0403B20000E7004719
-:0403B30000E8004717
-:0403B40000E9004715
-:0403B50000EA004812
-:0403B60000EB004810
-:0403B70000EC00480E
-:0403B80000ED00490B
-:0403B90000EE004909
-:0403BA0000EF004907
-:0403BB0000F0004905
-:0403BC0000F1004A02
-:0403BD0000F2004A00
-:0403BE0000F3004AFE
-:0403BF0000F4004BFB
-:0403C00000B8003849
-:0403C10000B9003847
-:0403C20000BA003944
-:0403C30000BA003943
-:0403C40000BB003941
-:0403C50000BC003A3E
-:0403C60000BD003A3C
-:0403C70000BE003A3A
-:0403C80000BF003A38
-:0403C90000C0003B35
-:0403CA0000C1003B33
-:0403CB0000C2003B31
-:0403CC0000C3003C2E
-:0403CD0000C4003C2C
-:0403CE0000C5003C2A
-:0403CF0000C6003D27
-:0403D00000C7003D25
-:0403D10000C8003D23
-:0403D20000C9003D21
-:0403D30000CA003E1E
-:0403D40000CB003E1C
-:0403D50000CC003E1A
-:0403D60000CD003F17
-:0403D70000CE003F15
-:0403D80000CF003F13
-:0403D90000D0003F11
-:0403DA0000D000400F
-:0403DB0000D100400D
-:0403DC0000D200400B
-:0403DD0000D3004108
-:0403DE0000D4004106
-:0403DF0000D5004104
-:0403E00000D6004102
-:0403E10000D70042FF
-:0403E20000D80042FD
-:0403E30000D90042FB
-:0403E40000DA0043F8
-:0403E50000DB0043F6
-:0403E60000DC0043F4
-:0403E70000DD0044F1
-:0403E80000DE0044EF
-:0403E90000DF0044ED
-:0403EA0000E00044EB
-:0403EB0000E10045E8
-:0403EC0000E20045E6
-:0403ED0000E30045E4
-:0403EE0000E40046E1
-:0403EF0000E50046DF
-:0403F00000E60046DD
-:0403F10000E60046DC
-:0403F20000E70047D9
-:0403F30000E80047D7
-:0403F40000E90047D5
-:0403F50000EA0048D2
-:0403F60000EB0048D0
-:0403F70000EC0048CE
-:0403F80000ED0049CB
-:0403F90000EE0049C9
-:0403FA0000EF0049C7
-:0403FB0000F00049C5
-:0403FC0000F1004AC2
-:0403FD0000F2004AC0
-:0403FE0000F3004ABE
-:0403FF0000F4004BBB
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_17.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_17.hex
index 59f97b8a2a23d7f6b2e32d416d1d0d03bbff9bc2..5d48e13bc5c3436c76da393f695312488e54b6f9 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_17.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_17.hex
@@ -62,964 +62,4 @@
 :04003D0000F1004E80
 :04003E0000F2004E7E
 :04003F0000F3004F7B
-:0400400000B7003BCA
-:0400410000B8003CC7
-:0400420000B9003CC5
-:0400430000B9003CC4
-:0400440000BA003DC1
-:0400450000BB003DBF
-:0400460000BC003DBD
-:0400470000BD003DBB
-:0400480000BE003EB8
-:0400490000BF003EB6
-:04004A0000C0003EB4
-:04004B0000C1003FB1
-:04004C0000C2003FAF
-:04004D0000C3003FAD
-:04004E0000C40040AA
-:04004F0000C50040A8
-:0400500000C60040A6
-:0400510000C70041A3
-:0400520000C80041A1
-:0400530000C900419F
-:0400540000CA00429C
-:0400550000CB00429A
-:0400560000CC004298
-:0400570000CC004297
-:0400580000CD004394
-:0400590000CE004392
-:04005A0000CF004390
-:04005B0000D000448D
-:04005C0000D100448B
-:04005D0000D2004489
-:04005E0000D3004586
-:04005F0000D4004584
-:0400600000D5004582
-:0400610000D600467F
-:0400620000D700467D
-:0400630000D800467B
-:0400640000D9004679
-:0400650000DA004776
-:0400660000DB004774
-:0400670000DC004772
-:0400680000DD00486F
-:0400690000DE00486D
-:04006A0000DF00486B
-:04006B0000DF004969
-:04006C0000E0004967
-:04006D0000E1004965
-:04006E0000E2004A62
-:04006F0000E3004A60
-:0400700000E4004A5E
-:0400710000E5004A5C
-:0400720000E6004B59
-:0400730000E7004B57
-:0400740000E8004B55
-:0400750000E9004C52
-:0400760000EA004C50
-:0400770000EB004C4E
-:0400780000EC004D4B
-:0400790000ED004D49
-:04007A0000EE004D47
-:04007B0000EF004E44
-:04007C0000F0004E42
-:04007D0000F1004E40
-:04007E0000F2004E3E
-:04007F0000F3004F3B
-:0400800000B7003B8A
-:0400810000B8003C87
-:0400820000B9003C85
-:0400830000B9003C84
-:0400840000BA003D81
-:0400850000BB003D7F
-:0400860000BC003D7D
-:0400870000BD003D7B
-:0400880000BE003E78
-:0400890000BF003E76
-:04008A0000C0003E74
-:04008B0000C1003F71
-:04008C0000C2003F6F
-:04008D0000C3003F6D
-:04008E0000C400406A
-:04008F0000C5004068
-:0400900000C6004066
-:0400910000C7004163
-:0400920000C8004161
-:0400930000C900415F
-:0400940000CA00425C
-:0400950000CB00425A
-:0400960000CC004258
-:0400970000CC004257
-:0400980000CD004354
-:0400990000CE004352
-:04009A0000CF004350
-:04009B0000D000444D
-:04009C0000D100444B
-:04009D0000D2004449
-:04009E0000D3004546
-:04009F0000D4004544
-:0400A00000D5004542
-:0400A10000D600463F
-:0400A20000D700463D
-:0400A30000D800463B
-:0400A40000D9004639
-:0400A50000DA004736
-:0400A60000DB004734
-:0400A70000DC004732
-:0400A80000DD00482F
-:0400A90000DE00482D
-:0400AA0000DF00482B
-:0400AB0000DF004929
-:0400AC0000E0004927
-:0400AD0000E1004925
-:0400AE0000E2004A22
-:0400AF0000E3004A20
-:0400B00000E4004A1E
-:0400B10000E5004A1C
-:0400B20000E6004B19
-:0400B30000E7004B17
-:0400B40000E8004B15
-:0400B50000E9004C12
-:0400B60000EA004C10
-:0400B70000EB004C0E
-:0400B80000EC004D0B
-:0400B90000ED004D09
-:0400BA0000EE004D07
-:0400BB0000EF004E04
-:0400BC0000F0004E02
-:0400BD0000F1004E00
-:0400BE0000F2004EFE
-:0400BF0000F3004FFB
-:0400C00000B7003B4A
-:0400C10000B8003C47
-:0400C20000B9003C45
-:0400C30000B9003C44
-:0400C40000BA003D41
-:0400C50000BB003D3F
-:0400C60000BC003D3D
-:0400C70000BD003D3B
-:0400C80000BE003E38
-:0400C90000BF003E36
-:0400CA0000C0003E34
-:0400CB0000C1003F31
-:0400CC0000C2003F2F
-:0400CD0000C3003F2D
-:0400CE0000C400402A
-:0400CF0000C5004028
-:0400D00000C6004026
-:0400D10000C7004123
-:0400D20000C8004121
-:0400D30000C900411F
-:0400D40000CA00421C
-:0400D50000CB00421A
-:0400D60000CC004218
-:0400D70000CC004217
-:0400D80000CD004314
-:0400D90000CE004312
-:0400DA0000CF004310
-:0400DB0000D000440D
-:0400DC0000D100440B
-:0400DD0000D2004409
-:0400DE0000D3004506
-:0400DF0000D4004504
-:0400E00000D5004502
-:0400E10000D60046FF
-:0400E20000D70046FD
-:0400E30000D80046FB
-:0400E40000D90046F9
-:0400E50000DA0047F6
-:0400E60000DB0047F4
-:0400E70000DC0047F2
-:0400E80000DD0048EF
-:0400E90000DE0048ED
-:0400EA0000DF0048EB
-:0400EB0000DF0049E9
-:0400EC0000E00049E7
-:0400ED0000E10049E5
-:0400EE0000E2004AE2
-:0400EF0000E3004AE0
-:0400F00000E4004ADE
-:0400F10000E5004ADC
-:0400F20000E6004BD9
-:0400F30000E7004BD7
-:0400F40000E8004BD5
-:0400F50000E9004CD2
-:0400F60000EA004CD0
-:0400F70000EB004CCE
-:0400F80000EC004DCB
-:0400F90000ED004DC9
-:0400FA0000EE004DC7
-:0400FB0000EF004EC4
-:0400FC0000F0004EC2
-:0400FD0000F1004EC0
-:0400FE0000F2004EBE
-:0400FF0000F3004FBB
-:0401000000B7003B09
-:0401010000B8003C06
-:0401020000B9003C04
-:0401030000B9003C03
-:0401040000BA003D00
-:0401050000BB003DFE
-:0401060000BC003DFC
-:0401070000BD003DFA
-:0401080000BE003EF7
-:0401090000BF003EF5
-:04010A0000C0003EF3
-:04010B0000C1003FF0
-:04010C0000C2003FEE
-:04010D0000C3003FEC
-:04010E0000C40040E9
-:04010F0000C50040E7
-:0401100000C60040E5
-:0401110000C70041E2
-:0401120000C80041E0
-:0401130000C90041DE
-:0401140000CA0042DB
-:0401150000CB0042D9
-:0401160000CC0042D7
-:0401170000CC0042D6
-:0401180000CD0043D3
-:0401190000CE0043D1
-:04011A0000CF0043CF
-:04011B0000D00044CC
-:04011C0000D10044CA
-:04011D0000D20044C8
-:04011E0000D30045C5
-:04011F0000D40045C3
-:0401200000D50045C1
-:0401210000D60046BE
-:0401220000D70046BC
-:0401230000D80046BA
-:0401240000D90046B8
-:0401250000DA0047B5
-:0401260000DB0047B3
-:0401270000DC0047B1
-:0401280000DD0048AE
-:0401290000DE0048AC
-:04012A0000DF0048AA
-:04012B0000DF0049A8
-:04012C0000E00049A6
-:04012D0000E10049A4
-:04012E0000E2004AA1
-:04012F0000E3004A9F
-:0401300000E4004A9D
-:0401310000E5004A9B
-:0401320000E6004B98
-:0401330000E7004B96
-:0401340000E8004B94
-:0401350000E9004C91
-:0401360000EA004C8F
-:0401370000EB004C8D
-:0401380000EC004D8A
-:0401390000ED004D88
-:04013A0000EE004D86
-:04013B0000EF004E83
-:04013C0000F0004E81
-:04013D0000F1004E7F
-:04013E0000F2004E7D
-:04013F0000F3004F7A
-:0401400000B7003BC9
-:0401410000B8003CC6
-:0401420000B9003CC4
-:0401430000B9003CC3
-:0401440000BA003DC0
-:0401450000BB003DBE
-:0401460000BC003DBC
-:0401470000BD003DBA
-:0401480000BE003EB7
-:0401490000BF003EB5
-:04014A0000C0003EB3
-:04014B0000C1003FB0
-:04014C0000C2003FAE
-:04014D0000C3003FAC
-:04014E0000C40040A9
-:04014F0000C50040A7
-:0401500000C60040A5
-:0401510000C70041A2
-:0401520000C80041A0
-:0401530000C900419E
-:0401540000CA00429B
-:0401550000CB004299
-:0401560000CC004297
-:0401570000CC004296
-:0401580000CD004393
-:0401590000CE004391
-:04015A0000CF00438F
-:04015B0000D000448C
-:04015C0000D100448A
-:04015D0000D2004488
-:04015E0000D3004585
-:04015F0000D4004583
-:0401600000D5004581
-:0401610000D600467E
-:0401620000D700467C
-:0401630000D800467A
-:0401640000D9004678
-:0401650000DA004775
-:0401660000DB004773
-:0401670000DC004771
-:0401680000DD00486E
-:0401690000DE00486C
-:04016A0000DF00486A
-:04016B0000DF004968
-:04016C0000E0004966
-:04016D0000E1004964
-:04016E0000E2004A61
-:04016F0000E3004A5F
-:0401700000E4004A5D
-:0401710000E5004A5B
-:0401720000E6004B58
-:0401730000E7004B56
-:0401740000E8004B54
-:0401750000E9004C51
-:0401760000EA004C4F
-:0401770000EB004C4D
-:0401780000EC004D4A
-:0401790000ED004D48
-:04017A0000EE004D46
-:04017B0000EF004E43
-:04017C0000F0004E41
-:04017D0000F1004E3F
-:04017E0000F2004E3D
-:04017F0000F3004F3A
-:0401800000B7003B89
-:0401810000B8003C86
-:0401820000B9003C84
-:0401830000B9003C83
-:0401840000BA003D80
-:0401850000BB003D7E
-:0401860000BC003D7C
-:0401870000BD003D7A
-:0401880000BE003E77
-:0401890000BF003E75
-:04018A0000C0003E73
-:04018B0000C1003F70
-:04018C0000C2003F6E
-:04018D0000C3003F6C
-:04018E0000C4004069
-:04018F0000C5004067
-:0401900000C6004065
-:0401910000C7004162
-:0401920000C8004160
-:0401930000C900415E
-:0401940000CA00425B
-:0401950000CB004259
-:0401960000CC004257
-:0401970000CC004256
-:0401980000CD004353
-:0401990000CE004351
-:04019A0000CF00434F
-:04019B0000D000444C
-:04019C0000D100444A
-:04019D0000D2004448
-:04019E0000D3004545
-:04019F0000D4004543
-:0401A00000D5004541
-:0401A10000D600463E
-:0401A20000D700463C
-:0401A30000D800463A
-:0401A40000D9004638
-:0401A50000DA004735
-:0401A60000DB004733
-:0401A70000DC004731
-:0401A80000DD00482E
-:0401A90000DE00482C
-:0401AA0000DF00482A
-:0401AB0000DF004928
-:0401AC0000E0004926
-:0401AD0000E1004924
-:0401AE0000E2004A21
-:0401AF0000E3004A1F
-:0401B00000E4004A1D
-:0401B10000E5004A1B
-:0401B20000E6004B18
-:0401B30000E7004B16
-:0401B40000E8004B14
-:0401B50000E9004C11
-:0401B60000EA004C0F
-:0401B70000EB004C0D
-:0401B80000EC004D0A
-:0401B90000ED004D08
-:0401BA0000EE004D06
-:0401BB0000EF004E03
-:0401BC0000F0004E01
-:0401BD0000F1004EFF
-:0401BE0000F2004EFD
-:0401BF0000F3004FFA
-:0401C00000B7003B49
-:0401C10000B8003C46
-:0401C20000B9003C44
-:0401C30000B9003C43
-:0401C40000BA003D40
-:0401C50000BB003D3E
-:0401C60000BC003D3C
-:0401C70000BD003D3A
-:0401C80000BE003E37
-:0401C90000BF003E35
-:0401CA0000C0003E33
-:0401CB0000C1003F30
-:0401CC0000C2003F2E
-:0401CD0000C3003F2C
-:0401CE0000C4004029
-:0401CF0000C5004027
-:0401D00000C6004025
-:0401D10000C7004122
-:0401D20000C8004120
-:0401D30000C900411E
-:0401D40000CA00421B
-:0401D50000CB004219
-:0401D60000CC004217
-:0401D70000CC004216
-:0401D80000CD004313
-:0401D90000CE004311
-:0401DA0000CF00430F
-:0401DB0000D000440C
-:0401DC0000D100440A
-:0401DD0000D2004408
-:0401DE0000D3004505
-:0401DF0000D4004503
-:0401E00000D5004501
-:0401E10000D60046FE
-:0401E20000D70046FC
-:0401E30000D80046FA
-:0401E40000D90046F8
-:0401E50000DA0047F5
-:0401E60000DB0047F3
-:0401E70000DC0047F1
-:0401E80000DD0048EE
-:0401E90000DE0048EC
-:0401EA0000DF0048EA
-:0401EB0000DF0049E8
-:0401EC0000E00049E6
-:0401ED0000E10049E4
-:0401EE0000E2004AE1
-:0401EF0000E3004ADF
-:0401F00000E4004ADD
-:0401F10000E5004ADB
-:0401F20000E6004BD8
-:0401F30000E7004BD6
-:0401F40000E8004BD4
-:0401F50000E9004CD1
-:0401F60000EA004CCF
-:0401F70000EB004CCD
-:0401F80000EC004DCA
-:0401F90000ED004DC8
-:0401FA0000EE004DC6
-:0401FB0000EF004EC3
-:0401FC0000F0004EC1
-:0401FD0000F1004EBF
-:0401FE0000F2004EBD
-:0401FF0000F3004FBA
-:0402000000B7003B08
-:0402010000B8003C05
-:0402020000B9003C03
-:0402030000B9003C02
-:0402040000BA003DFF
-:0402050000BB003DFD
-:0402060000BC003DFB
-:0402070000BD003DF9
-:0402080000BE003EF6
-:0402090000BF003EF4
-:04020A0000C0003EF2
-:04020B0000C1003FEF
-:04020C0000C2003FED
-:04020D0000C3003FEB
-:04020E0000C40040E8
-:04020F0000C50040E6
-:0402100000C60040E4
-:0402110000C70041E1
-:0402120000C80041DF
-:0402130000C90041DD
-:0402140000CA0042DA
-:0402150000CB0042D8
-:0402160000CC0042D6
-:0402170000CC0042D5
-:0402180000CD0043D2
-:0402190000CE0043D0
-:04021A0000CF0043CE
-:04021B0000D00044CB
-:04021C0000D10044C9
-:04021D0000D20044C7
-:04021E0000D30045C4
-:04021F0000D40045C2
-:0402200000D50045C0
-:0402210000D60046BD
-:0402220000D70046BB
-:0402230000D80046B9
-:0402240000D90046B7
-:0402250000DA0047B4
-:0402260000DB0047B2
-:0402270000DC0047B0
-:0402280000DD0048AD
-:0402290000DE0048AB
-:04022A0000DF0048A9
-:04022B0000DF0049A7
-:04022C0000E00049A5
-:04022D0000E10049A3
-:04022E0000E2004AA0
-:04022F0000E3004A9E
-:0402300000E4004A9C
-:0402310000E5004A9A
-:0402320000E6004B97
-:0402330000E7004B95
-:0402340000E8004B93
-:0402350000E9004C90
-:0402360000EA004C8E
-:0402370000EB004C8C
-:0402380000EC004D89
-:0402390000ED004D87
-:04023A0000EE004D85
-:04023B0000EF004E82
-:04023C0000F0004E80
-:04023D0000F1004E7E
-:04023E0000F2004E7C
-:04023F0000F3004F79
-:0402400000B7003BC8
-:0402410000B8003CC5
-:0402420000B9003CC3
-:0402430000B9003CC2
-:0402440000BA003DBF
-:0402450000BB003DBD
-:0402460000BC003DBB
-:0402470000BD003DB9
-:0402480000BE003EB6
-:0402490000BF003EB4
-:04024A0000C0003EB2
-:04024B0000C1003FAF
-:04024C0000C2003FAD
-:04024D0000C3003FAB
-:04024E0000C40040A8
-:04024F0000C50040A6
-:0402500000C60040A4
-:0402510000C70041A1
-:0402520000C800419F
-:0402530000C900419D
-:0402540000CA00429A
-:0402550000CB004298
-:0402560000CC004296
-:0402570000CC004295
-:0402580000CD004392
-:0402590000CE004390
-:04025A0000CF00438E
-:04025B0000D000448B
-:04025C0000D1004489
-:04025D0000D2004487
-:04025E0000D3004584
-:04025F0000D4004582
-:0402600000D5004580
-:0402610000D600467D
-:0402620000D700467B
-:0402630000D8004679
-:0402640000D9004677
-:0402650000DA004774
-:0402660000DB004772
-:0402670000DC004770
-:0402680000DD00486D
-:0402690000DE00486B
-:04026A0000DF004869
-:04026B0000DF004967
-:04026C0000E0004965
-:04026D0000E1004963
-:04026E0000E2004A60
-:04026F0000E3004A5E
-:0402700000E4004A5C
-:0402710000E5004A5A
-:0402720000E6004B57
-:0402730000E7004B55
-:0402740000E8004B53
-:0402750000E9004C50
-:0402760000EA004C4E
-:0402770000EB004C4C
-:0402780000EC004D49
-:0402790000ED004D47
-:04027A0000EE004D45
-:04027B0000EF004E42
-:04027C0000F0004E40
-:04027D0000F1004E3E
-:04027E0000F2004E3C
-:04027F0000F3004F39
-:0402800000B7003B88
-:0402810000B8003C85
-:0402820000B9003C83
-:0402830000B9003C82
-:0402840000BA003D7F
-:0402850000BB003D7D
-:0402860000BC003D7B
-:0402870000BD003D79
-:0402880000BE003E76
-:0402890000BF003E74
-:04028A0000C0003E72
-:04028B0000C1003F6F
-:04028C0000C2003F6D
-:04028D0000C3003F6B
-:04028E0000C4004068
-:04028F0000C5004066
-:0402900000C6004064
-:0402910000C7004161
-:0402920000C800415F
-:0402930000C900415D
-:0402940000CA00425A
-:0402950000CB004258
-:0402960000CC004256
-:0402970000CC004255
-:0402980000CD004352
-:0402990000CE004350
-:04029A0000CF00434E
-:04029B0000D000444B
-:04029C0000D1004449
-:04029D0000D2004447
-:04029E0000D3004544
-:04029F0000D4004542
-:0402A00000D5004540
-:0402A10000D600463D
-:0402A20000D700463B
-:0402A30000D8004639
-:0402A40000D9004637
-:0402A50000DA004734
-:0402A60000DB004732
-:0402A70000DC004730
-:0402A80000DD00482D
-:0402A90000DE00482B
-:0402AA0000DF004829
-:0402AB0000DF004927
-:0402AC0000E0004925
-:0402AD0000E1004923
-:0402AE0000E2004A20
-:0402AF0000E3004A1E
-:0402B00000E4004A1C
-:0402B10000E5004A1A
-:0402B20000E6004B17
-:0402B30000E7004B15
-:0402B40000E8004B13
-:0402B50000E9004C10
-:0402B60000EA004C0E
-:0402B70000EB004C0C
-:0402B80000EC004D09
-:0402B90000ED004D07
-:0402BA0000EE004D05
-:0402BB0000EF004E02
-:0402BC0000F0004E00
-:0402BD0000F1004EFE
-:0402BE0000F2004EFC
-:0402BF0000F3004FF9
-:0402C00000B7003B48
-:0402C10000B8003C45
-:0402C20000B9003C43
-:0402C30000B9003C42
-:0402C40000BA003D3F
-:0402C50000BB003D3D
-:0402C60000BC003D3B
-:0402C70000BD003D39
-:0402C80000BE003E36
-:0402C90000BF003E34
-:0402CA0000C0003E32
-:0402CB0000C1003F2F
-:0402CC0000C2003F2D
-:0402CD0000C3003F2B
-:0402CE0000C4004028
-:0402CF0000C5004026
-:0402D00000C6004024
-:0402D10000C7004121
-:0402D20000C800411F
-:0402D30000C900411D
-:0402D40000CA00421A
-:0402D50000CB004218
-:0402D60000CC004216
-:0402D70000CC004215
-:0402D80000CD004312
-:0402D90000CE004310
-:0402DA0000CF00430E
-:0402DB0000D000440B
-:0402DC0000D1004409
-:0402DD0000D2004407
-:0402DE0000D3004504
-:0402DF0000D4004502
-:0402E00000D5004500
-:0402E10000D60046FD
-:0402E20000D70046FB
-:0402E30000D80046F9
-:0402E40000D90046F7
-:0402E50000DA0047F4
-:0402E60000DB0047F2
-:0402E70000DC0047F0
-:0402E80000DD0048ED
-:0402E90000DE0048EB
-:0402EA0000DF0048E9
-:0402EB0000DF0049E7
-:0402EC0000E00049E5
-:0402ED0000E10049E3
-:0402EE0000E2004AE0
-:0402EF0000E3004ADE
-:0402F00000E4004ADC
-:0402F10000E5004ADA
-:0402F20000E6004BD7
-:0402F30000E7004BD5
-:0402F40000E8004BD3
-:0402F50000E9004CD0
-:0402F60000EA004CCE
-:0402F70000EB004CCC
-:0402F80000EC004DC9
-:0402F90000ED004DC7
-:0402FA0000EE004DC5
-:0402FB0000EF004EC2
-:0402FC0000F0004EC0
-:0402FD0000F1004EBE
-:0402FE0000F2004EBC
-:0402FF0000F3004FB9
-:0403000000B7003B07
-:0403010000B8003C04
-:0403020000B9003C02
-:0403030000B9003C01
-:0403040000BA003DFE
-:0403050000BB003DFC
-:0403060000BC003DFA
-:0403070000BD003DF8
-:0403080000BE003EF5
-:0403090000BF003EF3
-:04030A0000C0003EF1
-:04030B0000C1003FEE
-:04030C0000C2003FEC
-:04030D0000C3003FEA
-:04030E0000C40040E7
-:04030F0000C50040E5
-:0403100000C60040E3
-:0403110000C70041E0
-:0403120000C80041DE
-:0403130000C90041DC
-:0403140000CA0042D9
-:0403150000CB0042D7
-:0403160000CC0042D5
-:0403170000CC0042D4
-:0403180000CD0043D1
-:0403190000CE0043CF
-:04031A0000CF0043CD
-:04031B0000D00044CA
-:04031C0000D10044C8
-:04031D0000D20044C6
-:04031E0000D30045C3
-:04031F0000D40045C1
-:0403200000D50045BF
-:0403210000D60046BC
-:0403220000D70046BA
-:0403230000D80046B8
-:0403240000D90046B6
-:0403250000DA0047B3
-:0403260000DB0047B1
-:0403270000DC0047AF
-:0403280000DD0048AC
-:0403290000DE0048AA
-:04032A0000DF0048A8
-:04032B0000DF0049A6
-:04032C0000E00049A4
-:04032D0000E10049A2
-:04032E0000E2004A9F
-:04032F0000E3004A9D
-:0403300000E4004A9B
-:0403310000E5004A99
-:0403320000E6004B96
-:0403330000E7004B94
-:0403340000E8004B92
-:0403350000E9004C8F
-:0403360000EA004C8D
-:0403370000EB004C8B
-:0403380000EC004D88
-:0403390000ED004D86
-:04033A0000EE004D84
-:04033B0000EF004E81
-:04033C0000F0004E7F
-:04033D0000F1004E7D
-:04033E0000F2004E7B
-:04033F0000F3004F78
-:0403400000B7003BC7
-:0403410000B8003CC4
-:0403420000B9003CC2
-:0403430000B9003CC1
-:0403440000BA003DBE
-:0403450000BB003DBC
-:0403460000BC003DBA
-:0403470000BD003DB8
-:0403480000BE003EB5
-:0403490000BF003EB3
-:04034A0000C0003EB1
-:04034B0000C1003FAE
-:04034C0000C2003FAC
-:04034D0000C3003FAA
-:04034E0000C40040A7
-:04034F0000C50040A5
-:0403500000C60040A3
-:0403510000C70041A0
-:0403520000C800419E
-:0403530000C900419C
-:0403540000CA004299
-:0403550000CB004297
-:0403560000CC004295
-:0403570000CC004294
-:0403580000CD004391
-:0403590000CE00438F
-:04035A0000CF00438D
-:04035B0000D000448A
-:04035C0000D1004488
-:04035D0000D2004486
-:04035E0000D3004583
-:04035F0000D4004581
-:0403600000D500457F
-:0403610000D600467C
-:0403620000D700467A
-:0403630000D8004678
-:0403640000D9004676
-:0403650000DA004773
-:0403660000DB004771
-:0403670000DC00476F
-:0403680000DD00486C
-:0403690000DE00486A
-:04036A0000DF004868
-:04036B0000DF004966
-:04036C0000E0004964
-:04036D0000E1004962
-:04036E0000E2004A5F
-:04036F0000E3004A5D
-:0403700000E4004A5B
-:0403710000E5004A59
-:0403720000E6004B56
-:0403730000E7004B54
-:0403740000E8004B52
-:0403750000E9004C4F
-:0403760000EA004C4D
-:0403770000EB004C4B
-:0403780000EC004D48
-:0403790000ED004D46
-:04037A0000EE004D44
-:04037B0000EF004E41
-:04037C0000F0004E3F
-:04037D0000F1004E3D
-:04037E0000F2004E3B
-:04037F0000F3004F38
-:0403800000B7003B87
-:0403810000B8003C84
-:0403820000B9003C82
-:0403830000B9003C81
-:0403840000BA003D7E
-:0403850000BB003D7C
-:0403860000BC003D7A
-:0403870000BD003D78
-:0403880000BE003E75
-:0403890000BF003E73
-:04038A0000C0003E71
-:04038B0000C1003F6E
-:04038C0000C2003F6C
-:04038D0000C3003F6A
-:04038E0000C4004067
-:04038F0000C5004065
-:0403900000C6004063
-:0403910000C7004160
-:0403920000C800415E
-:0403930000C900415C
-:0403940000CA004259
-:0403950000CB004257
-:0403960000CC004255
-:0403970000CC004254
-:0403980000CD004351
-:0403990000CE00434F
-:04039A0000CF00434D
-:04039B0000D000444A
-:04039C0000D1004448
-:04039D0000D2004446
-:04039E0000D3004543
-:04039F0000D4004541
-:0403A00000D500453F
-:0403A10000D600463C
-:0403A20000D700463A
-:0403A30000D8004638
-:0403A40000D9004636
-:0403A50000DA004733
-:0403A60000DB004731
-:0403A70000DC00472F
-:0403A80000DD00482C
-:0403A90000DE00482A
-:0403AA0000DF004828
-:0403AB0000DF004926
-:0403AC0000E0004924
-:0403AD0000E1004922
-:0403AE0000E2004A1F
-:0403AF0000E3004A1D
-:0403B00000E4004A1B
-:0403B10000E5004A19
-:0403B20000E6004B16
-:0403B30000E7004B14
-:0403B40000E8004B12
-:0403B50000E9004C0F
-:0403B60000EA004C0D
-:0403B70000EB004C0B
-:0403B80000EC004D08
-:0403B90000ED004D06
-:0403BA0000EE004D04
-:0403BB0000EF004E01
-:0403BC0000F0004EFF
-:0403BD0000F1004EFD
-:0403BE0000F2004EFB
-:0403BF0000F3004FF8
-:0403C00000B7003B47
-:0403C10000B8003C44
-:0403C20000B9003C42
-:0403C30000B9003C41
-:0403C40000BA003D3E
-:0403C50000BB003D3C
-:0403C60000BC003D3A
-:0403C70000BD003D38
-:0403C80000BE003E35
-:0403C90000BF003E33
-:0403CA0000C0003E31
-:0403CB0000C1003F2E
-:0403CC0000C2003F2C
-:0403CD0000C3003F2A
-:0403CE0000C4004027
-:0403CF0000C5004025
-:0403D00000C6004023
-:0403D10000C7004120
-:0403D20000C800411E
-:0403D30000C900411C
-:0403D40000CA004219
-:0403D50000CB004217
-:0403D60000CC004215
-:0403D70000CC004214
-:0403D80000CD004311
-:0403D90000CE00430F
-:0403DA0000CF00430D
-:0403DB0000D000440A
-:0403DC0000D1004408
-:0403DD0000D2004406
-:0403DE0000D3004503
-:0403DF0000D4004501
-:0403E00000D50045FF
-:0403E10000D60046FC
-:0403E20000D70046FA
-:0403E30000D80046F8
-:0403E40000D90046F6
-:0403E50000DA0047F3
-:0403E60000DB0047F1
-:0403E70000DC0047EF
-:0403E80000DD0048EC
-:0403E90000DE0048EA
-:0403EA0000DF0048E8
-:0403EB0000DF0049E6
-:0403EC0000E00049E4
-:0403ED0000E10049E2
-:0403EE0000E2004ADF
-:0403EF0000E3004ADD
-:0403F00000E4004ADB
-:0403F10000E5004AD9
-:0403F20000E6004BD6
-:0403F30000E7004BD4
-:0403F40000E8004BD2
-:0403F50000E9004CCF
-:0403F60000EA004CCD
-:0403F70000EB004CCB
-:0403F80000EC004DC8
-:0403F90000ED004DC6
-:0403FA0000EE004DC4
-:0403FB0000EF004EC1
-:0403FC0000F0004EBF
-:0403FD0000F1004EBD
-:0403FE0000F2004EBB
-:0403FF0000F3004FB8
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_18.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_18.hex
index 18ee6c74e1d8fd87feb3b827a4536aba989df675..fcda399d40a2d00c31cf8520585924be935eb607 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_18.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_18.hex
@@ -62,964 +62,4 @@
 :04003D0000EF00527E
 :04003E0000F000537B
 :04003F0000F1005379
-:0400400000B6003FC7
-:0400410000B6003FC6
-:0400420000B7003FC4
-:0400430000B8003FC2
-:0400440000B90040BF
-:0400450000BA0040BD
-:0400460000BB0040BB
-:0400470000BC0041B8
-:0400480000BD0041B6
-:0400490000BE0041B4
-:04004A0000BF0042B1
-:04004B0000C00042AF
-:04004C0000C10042AD
-:04004D0000C20043AA
-:04004E0000C30043A8
-:04004F0000C40043A6
-:0400500000C50044A3
-:0400510000C60044A1
-:0400520000C700449F
-:0400530000C800459C
-:0400540000C800459B
-:0400550000C9004599
-:0400560000CA004696
-:0400570000CB004694
-:0400580000CC004692
-:0400590000CD00478F
-:04005A0000CE00478D
-:04005B0000CF00478B
-:04005C0000D0004888
-:04005D0000D1004886
-:04005E0000D2004884
-:04005F0000D3004981
-:0400600000D400497F
-:0400610000D500497D
-:0400620000D6004A7A
-:0400630000D7004A78
-:0400640000D8004A76
-:0400650000D9004B73
-:0400660000D9004B72
-:0400670000DA004B70
-:0400680000DB004C6D
-:0400690000DC004C6B
-:04006A0000DD004C69
-:04006B0000DE004D66
-:04006C0000DF004D64
-:04006D0000E0004D62
-:04006E0000E1004D60
-:04006F0000E2004E5D
-:0400700000E3004E5B
-:0400710000E4004E59
-:0400720000E5004F56
-:0400730000E6004F54
-:0400740000E7004F52
-:0400750000E800504F
-:0400760000E900504D
-:0400770000EA00504B
-:0400780000EA005149
-:0400790000EB005147
-:04007A0000EC005145
-:04007B0000ED005242
-:04007C0000EE005240
-:04007D0000EF00523E
-:04007E0000F000533B
-:04007F0000F1005339
-:0400800000B6003F87
-:0400810000B6003F86
-:0400820000B7003F84
-:0400830000B8003F82
-:0400840000B900407F
-:0400850000BA00407D
-:0400860000BB00407B
-:0400870000BC004178
-:0400880000BD004176
-:0400890000BE004174
-:04008A0000BF004271
-:04008B0000C000426F
-:04008C0000C100426D
-:04008D0000C200436A
-:04008E0000C3004368
-:04008F0000C4004366
-:0400900000C5004463
-:0400910000C6004461
-:0400920000C700445F
-:0400930000C800455C
-:0400940000C800455B
-:0400950000C9004559
-:0400960000CA004656
-:0400970000CB004654
-:0400980000CC004652
-:0400990000CD00474F
-:04009A0000CE00474D
-:04009B0000CF00474B
-:04009C0000D0004848
-:04009D0000D1004846
-:04009E0000D2004844
-:04009F0000D3004941
-:0400A00000D400493F
-:0400A10000D500493D
-:0400A20000D6004A3A
-:0400A30000D7004A38
-:0400A40000D8004A36
-:0400A50000D9004B33
-:0400A60000D9004B32
-:0400A70000DA004B30
-:0400A80000DB004C2D
-:0400A90000DC004C2B
-:0400AA0000DD004C29
-:0400AB0000DE004D26
-:0400AC0000DF004D24
-:0400AD0000E0004D22
-:0400AE0000E1004D20
-:0400AF0000E2004E1D
-:0400B00000E3004E1B
-:0400B10000E4004E19
-:0400B20000E5004F16
-:0400B30000E6004F14
-:0400B40000E7004F12
-:0400B50000E800500F
-:0400B60000E900500D
-:0400B70000EA00500B
-:0400B80000EA005109
-:0400B90000EB005107
-:0400BA0000EC005105
-:0400BB0000ED005202
-:0400BC0000EE005200
-:0400BD0000EF0052FE
-:0400BE0000F00053FB
-:0400BF0000F10053F9
-:0400C00000B6003F47
-:0400C10000B6003F46
-:0400C20000B7003F44
-:0400C30000B8003F42
-:0400C40000B900403F
-:0400C50000BA00403D
-:0400C60000BB00403B
-:0400C70000BC004138
-:0400C80000BD004136
-:0400C90000BE004134
-:0400CA0000BF004231
-:0400CB0000C000422F
-:0400CC0000C100422D
-:0400CD0000C200432A
-:0400CE0000C3004328
-:0400CF0000C4004326
-:0400D00000C5004423
-:0400D10000C6004421
-:0400D20000C700441F
-:0400D30000C800451C
-:0400D40000C800451B
-:0400D50000C9004519
-:0400D60000CA004616
-:0400D70000CB004614
-:0400D80000CC004612
-:0400D90000CD00470F
-:0400DA0000CE00470D
-:0400DB0000CF00470B
-:0400DC0000D0004808
-:0400DD0000D1004806
-:0400DE0000D2004804
-:0400DF0000D3004901
-:0400E00000D40049FF
-:0400E10000D50049FD
-:0400E20000D6004AFA
-:0400E30000D7004AF8
-:0400E40000D8004AF6
-:0400E50000D9004BF3
-:0400E60000D9004BF2
-:0400E70000DA004BF0
-:0400E80000DB004CED
-:0400E90000DC004CEB
-:0400EA0000DD004CE9
-:0400EB0000DE004DE6
-:0400EC0000DF004DE4
-:0400ED0000E0004DE2
-:0400EE0000E1004DE0
-:0400EF0000E2004EDD
-:0400F00000E3004EDB
-:0400F10000E4004ED9
-:0400F20000E5004FD6
-:0400F30000E6004FD4
-:0400F40000E7004FD2
-:0400F50000E80050CF
-:0400F60000E90050CD
-:0400F70000EA0050CB
-:0400F80000EA0051C9
-:0400F90000EB0051C7
-:0400FA0000EC0051C5
-:0400FB0000ED0052C2
-:0400FC0000EE0052C0
-:0400FD0000EF0052BE
-:0400FE0000F00053BB
-:0400FF0000F10053B9
-:0401000000B6003F06
-:0401010000B6003F05
-:0401020000B7003F03
-:0401030000B8003F01
-:0401040000B90040FE
-:0401050000BA0040FC
-:0401060000BB0040FA
-:0401070000BC0041F7
-:0401080000BD0041F5
-:0401090000BE0041F3
-:04010A0000BF0042F0
-:04010B0000C00042EE
-:04010C0000C10042EC
-:04010D0000C20043E9
-:04010E0000C30043E7
-:04010F0000C40043E5
-:0401100000C50044E2
-:0401110000C60044E0
-:0401120000C70044DE
-:0401130000C80045DB
-:0401140000C80045DA
-:0401150000C90045D8
-:0401160000CA0046D5
-:0401170000CB0046D3
-:0401180000CC0046D1
-:0401190000CD0047CE
-:04011A0000CE0047CC
-:04011B0000CF0047CA
-:04011C0000D00048C7
-:04011D0000D10048C5
-:04011E0000D20048C3
-:04011F0000D30049C0
-:0401200000D40049BE
-:0401210000D50049BC
-:0401220000D6004AB9
-:0401230000D7004AB7
-:0401240000D8004AB5
-:0401250000D9004BB2
-:0401260000D9004BB1
-:0401270000DA004BAF
-:0401280000DB004CAC
-:0401290000DC004CAA
-:04012A0000DD004CA8
-:04012B0000DE004DA5
-:04012C0000DF004DA3
-:04012D0000E0004DA1
-:04012E0000E1004D9F
-:04012F0000E2004E9C
-:0401300000E3004E9A
-:0401310000E4004E98
-:0401320000E5004F95
-:0401330000E6004F93
-:0401340000E7004F91
-:0401350000E800508E
-:0401360000E900508C
-:0401370000EA00508A
-:0401380000EA005188
-:0401390000EB005186
-:04013A0000EC005184
-:04013B0000ED005281
-:04013C0000EE00527F
-:04013D0000EF00527D
-:04013E0000F000537A
-:04013F0000F1005378
-:0401400000B6003FC6
-:0401410000B6003FC5
-:0401420000B7003FC3
-:0401430000B8003FC1
-:0401440000B90040BE
-:0401450000BA0040BC
-:0401460000BB0040BA
-:0401470000BC0041B7
-:0401480000BD0041B5
-:0401490000BE0041B3
-:04014A0000BF0042B0
-:04014B0000C00042AE
-:04014C0000C10042AC
-:04014D0000C20043A9
-:04014E0000C30043A7
-:04014F0000C40043A5
-:0401500000C50044A2
-:0401510000C60044A0
-:0401520000C700449E
-:0401530000C800459B
-:0401540000C800459A
-:0401550000C9004598
-:0401560000CA004695
-:0401570000CB004693
-:0401580000CC004691
-:0401590000CD00478E
-:04015A0000CE00478C
-:04015B0000CF00478A
-:04015C0000D0004887
-:04015D0000D1004885
-:04015E0000D2004883
-:04015F0000D3004980
-:0401600000D400497E
-:0401610000D500497C
-:0401620000D6004A79
-:0401630000D7004A77
-:0401640000D8004A75
-:0401650000D9004B72
-:0401660000D9004B71
-:0401670000DA004B6F
-:0401680000DB004C6C
-:0401690000DC004C6A
-:04016A0000DD004C68
-:04016B0000DE004D65
-:04016C0000DF004D63
-:04016D0000E0004D61
-:04016E0000E1004D5F
-:04016F0000E2004E5C
-:0401700000E3004E5A
-:0401710000E4004E58
-:0401720000E5004F55
-:0401730000E6004F53
-:0401740000E7004F51
-:0401750000E800504E
-:0401760000E900504C
-:0401770000EA00504A
-:0401780000EA005148
-:0401790000EB005146
-:04017A0000EC005144
-:04017B0000ED005241
-:04017C0000EE00523F
-:04017D0000EF00523D
-:04017E0000F000533A
-:04017F0000F1005338
-:0401800000B6003F86
-:0401810000B6003F85
-:0401820000B7003F83
-:0401830000B8003F81
-:0401840000B900407E
-:0401850000BA00407C
-:0401860000BB00407A
-:0401870000BC004177
-:0401880000BD004175
-:0401890000BE004173
-:04018A0000BF004270
-:04018B0000C000426E
-:04018C0000C100426C
-:04018D0000C2004369
-:04018E0000C3004367
-:04018F0000C4004365
-:0401900000C5004462
-:0401910000C6004460
-:0401920000C700445E
-:0401930000C800455B
-:0401940000C800455A
-:0401950000C9004558
-:0401960000CA004655
-:0401970000CB004653
-:0401980000CC004651
-:0401990000CD00474E
-:04019A0000CE00474C
-:04019B0000CF00474A
-:04019C0000D0004847
-:04019D0000D1004845
-:04019E0000D2004843
-:04019F0000D3004940
-:0401A00000D400493E
-:0401A10000D500493C
-:0401A20000D6004A39
-:0401A30000D7004A37
-:0401A40000D8004A35
-:0401A50000D9004B32
-:0401A60000D9004B31
-:0401A70000DA004B2F
-:0401A80000DB004C2C
-:0401A90000DC004C2A
-:0401AA0000DD004C28
-:0401AB0000DE004D25
-:0401AC0000DF004D23
-:0401AD0000E0004D21
-:0401AE0000E1004D1F
-:0401AF0000E2004E1C
-:0401B00000E3004E1A
-:0401B10000E4004E18
-:0401B20000E5004F15
-:0401B30000E6004F13
-:0401B40000E7004F11
-:0401B50000E800500E
-:0401B60000E900500C
-:0401B70000EA00500A
-:0401B80000EA005108
-:0401B90000EB005106
-:0401BA0000EC005104
-:0401BB0000ED005201
-:0401BC0000EE0052FF
-:0401BD0000EF0052FD
-:0401BE0000F00053FA
-:0401BF0000F10053F8
-:0401C00000B6003F46
-:0401C10000B6003F45
-:0401C20000B7003F43
-:0401C30000B8003F41
-:0401C40000B900403E
-:0401C50000BA00403C
-:0401C60000BB00403A
-:0401C70000BC004137
-:0401C80000BD004135
-:0401C90000BE004133
-:0401CA0000BF004230
-:0401CB0000C000422E
-:0401CC0000C100422C
-:0401CD0000C2004329
-:0401CE0000C3004327
-:0401CF0000C4004325
-:0401D00000C5004422
-:0401D10000C6004420
-:0401D20000C700441E
-:0401D30000C800451B
-:0401D40000C800451A
-:0401D50000C9004518
-:0401D60000CA004615
-:0401D70000CB004613
-:0401D80000CC004611
-:0401D90000CD00470E
-:0401DA0000CE00470C
-:0401DB0000CF00470A
-:0401DC0000D0004807
-:0401DD0000D1004805
-:0401DE0000D2004803
-:0401DF0000D3004900
-:0401E00000D40049FE
-:0401E10000D50049FC
-:0401E20000D6004AF9
-:0401E30000D7004AF7
-:0401E40000D8004AF5
-:0401E50000D9004BF2
-:0401E60000D9004BF1
-:0401E70000DA004BEF
-:0401E80000DB004CEC
-:0401E90000DC004CEA
-:0401EA0000DD004CE8
-:0401EB0000DE004DE5
-:0401EC0000DF004DE3
-:0401ED0000E0004DE1
-:0401EE0000E1004DDF
-:0401EF0000E2004EDC
-:0401F00000E3004EDA
-:0401F10000E4004ED8
-:0401F20000E5004FD5
-:0401F30000E6004FD3
-:0401F40000E7004FD1
-:0401F50000E80050CE
-:0401F60000E90050CC
-:0401F70000EA0050CA
-:0401F80000EA0051C8
-:0401F90000EB0051C6
-:0401FA0000EC0051C4
-:0401FB0000ED0052C1
-:0401FC0000EE0052BF
-:0401FD0000EF0052BD
-:0401FE0000F00053BA
-:0401FF0000F10053B8
-:0402000000B6003F05
-:0402010000B6003F04
-:0402020000B7003F02
-:0402030000B8003F00
-:0402040000B90040FD
-:0402050000BA0040FB
-:0402060000BB0040F9
-:0402070000BC0041F6
-:0402080000BD0041F4
-:0402090000BE0041F2
-:04020A0000BF0042EF
-:04020B0000C00042ED
-:04020C0000C10042EB
-:04020D0000C20043E8
-:04020E0000C30043E6
-:04020F0000C40043E4
-:0402100000C50044E1
-:0402110000C60044DF
-:0402120000C70044DD
-:0402130000C80045DA
-:0402140000C80045D9
-:0402150000C90045D7
-:0402160000CA0046D4
-:0402170000CB0046D2
-:0402180000CC0046D0
-:0402190000CD0047CD
-:04021A0000CE0047CB
-:04021B0000CF0047C9
-:04021C0000D00048C6
-:04021D0000D10048C4
-:04021E0000D20048C2
-:04021F0000D30049BF
-:0402200000D40049BD
-:0402210000D50049BB
-:0402220000D6004AB8
-:0402230000D7004AB6
-:0402240000D8004AB4
-:0402250000D9004BB1
-:0402260000D9004BB0
-:0402270000DA004BAE
-:0402280000DB004CAB
-:0402290000DC004CA9
-:04022A0000DD004CA7
-:04022B0000DE004DA4
-:04022C0000DF004DA2
-:04022D0000E0004DA0
-:04022E0000E1004D9E
-:04022F0000E2004E9B
-:0402300000E3004E99
-:0402310000E4004E97
-:0402320000E5004F94
-:0402330000E6004F92
-:0402340000E7004F90
-:0402350000E800508D
-:0402360000E900508B
-:0402370000EA005089
-:0402380000EA005187
-:0402390000EB005185
-:04023A0000EC005183
-:04023B0000ED005280
-:04023C0000EE00527E
-:04023D0000EF00527C
-:04023E0000F0005379
-:04023F0000F1005377
-:0402400000B6003FC5
-:0402410000B6003FC4
-:0402420000B7003FC2
-:0402430000B8003FC0
-:0402440000B90040BD
-:0402450000BA0040BB
-:0402460000BB0040B9
-:0402470000BC0041B6
-:0402480000BD0041B4
-:0402490000BE0041B2
-:04024A0000BF0042AF
-:04024B0000C00042AD
-:04024C0000C10042AB
-:04024D0000C20043A8
-:04024E0000C30043A6
-:04024F0000C40043A4
-:0402500000C50044A1
-:0402510000C600449F
-:0402520000C700449D
-:0402530000C800459A
-:0402540000C8004599
-:0402550000C9004597
-:0402560000CA004694
-:0402570000CB004692
-:0402580000CC004690
-:0402590000CD00478D
-:04025A0000CE00478B
-:04025B0000CF004789
-:04025C0000D0004886
-:04025D0000D1004884
-:04025E0000D2004882
-:04025F0000D300497F
-:0402600000D400497D
-:0402610000D500497B
-:0402620000D6004A78
-:0402630000D7004A76
-:0402640000D8004A74
-:0402650000D9004B71
-:0402660000D9004B70
-:0402670000DA004B6E
-:0402680000DB004C6B
-:0402690000DC004C69
-:04026A0000DD004C67
-:04026B0000DE004D64
-:04026C0000DF004D62
-:04026D0000E0004D60
-:04026E0000E1004D5E
-:04026F0000E2004E5B
-:0402700000E3004E59
-:0402710000E4004E57
-:0402720000E5004F54
-:0402730000E6004F52
-:0402740000E7004F50
-:0402750000E800504D
-:0402760000E900504B
-:0402770000EA005049
-:0402780000EA005147
-:0402790000EB005145
-:04027A0000EC005143
-:04027B0000ED005240
-:04027C0000EE00523E
-:04027D0000EF00523C
-:04027E0000F0005339
-:04027F0000F1005337
-:0402800000B6003F85
-:0402810000B6003F84
-:0402820000B7003F82
-:0402830000B8003F80
-:0402840000B900407D
-:0402850000BA00407B
-:0402860000BB004079
-:0402870000BC004176
-:0402880000BD004174
-:0402890000BE004172
-:04028A0000BF00426F
-:04028B0000C000426D
-:04028C0000C100426B
-:04028D0000C2004368
-:04028E0000C3004366
-:04028F0000C4004364
-:0402900000C5004461
-:0402910000C600445F
-:0402920000C700445D
-:0402930000C800455A
-:0402940000C8004559
-:0402950000C9004557
-:0402960000CA004654
-:0402970000CB004652
-:0402980000CC004650
-:0402990000CD00474D
-:04029A0000CE00474B
-:04029B0000CF004749
-:04029C0000D0004846
-:04029D0000D1004844
-:04029E0000D2004842
-:04029F0000D300493F
-:0402A00000D400493D
-:0402A10000D500493B
-:0402A20000D6004A38
-:0402A30000D7004A36
-:0402A40000D8004A34
-:0402A50000D9004B31
-:0402A60000D9004B30
-:0402A70000DA004B2E
-:0402A80000DB004C2B
-:0402A90000DC004C29
-:0402AA0000DD004C27
-:0402AB0000DE004D24
-:0402AC0000DF004D22
-:0402AD0000E0004D20
-:0402AE0000E1004D1E
-:0402AF0000E2004E1B
-:0402B00000E3004E19
-:0402B10000E4004E17
-:0402B20000E5004F14
-:0402B30000E6004F12
-:0402B40000E7004F10
-:0402B50000E800500D
-:0402B60000E900500B
-:0402B70000EA005009
-:0402B80000EA005107
-:0402B90000EB005105
-:0402BA0000EC005103
-:0402BB0000ED005200
-:0402BC0000EE0052FE
-:0402BD0000EF0052FC
-:0402BE0000F00053F9
-:0402BF0000F10053F7
-:0402C00000B6003F45
-:0402C10000B6003F44
-:0402C20000B7003F42
-:0402C30000B8003F40
-:0402C40000B900403D
-:0402C50000BA00403B
-:0402C60000BB004039
-:0402C70000BC004136
-:0402C80000BD004134
-:0402C90000BE004132
-:0402CA0000BF00422F
-:0402CB0000C000422D
-:0402CC0000C100422B
-:0402CD0000C2004328
-:0402CE0000C3004326
-:0402CF0000C4004324
-:0402D00000C5004421
-:0402D10000C600441F
-:0402D20000C700441D
-:0402D30000C800451A
-:0402D40000C8004519
-:0402D50000C9004517
-:0402D60000CA004614
-:0402D70000CB004612
-:0402D80000CC004610
-:0402D90000CD00470D
-:0402DA0000CE00470B
-:0402DB0000CF004709
-:0402DC0000D0004806
-:0402DD0000D1004804
-:0402DE0000D2004802
-:0402DF0000D30049FF
-:0402E00000D40049FD
-:0402E10000D50049FB
-:0402E20000D6004AF8
-:0402E30000D7004AF6
-:0402E40000D8004AF4
-:0402E50000D9004BF1
-:0402E60000D9004BF0
-:0402E70000DA004BEE
-:0402E80000DB004CEB
-:0402E90000DC004CE9
-:0402EA0000DD004CE7
-:0402EB0000DE004DE4
-:0402EC0000DF004DE2
-:0402ED0000E0004DE0
-:0402EE0000E1004DDE
-:0402EF0000E2004EDB
-:0402F00000E3004ED9
-:0402F10000E4004ED7
-:0402F20000E5004FD4
-:0402F30000E6004FD2
-:0402F40000E7004FD0
-:0402F50000E80050CD
-:0402F60000E90050CB
-:0402F70000EA0050C9
-:0402F80000EA0051C7
-:0402F90000EB0051C5
-:0402FA0000EC0051C3
-:0402FB0000ED0052C0
-:0402FC0000EE0052BE
-:0402FD0000EF0052BC
-:0402FE0000F00053B9
-:0402FF0000F10053B7
-:0403000000B6003F04
-:0403010000B6003F03
-:0403020000B7003F01
-:0403030000B8003FFF
-:0403040000B90040FC
-:0403050000BA0040FA
-:0403060000BB0040F8
-:0403070000BC0041F5
-:0403080000BD0041F3
-:0403090000BE0041F1
-:04030A0000BF0042EE
-:04030B0000C00042EC
-:04030C0000C10042EA
-:04030D0000C20043E7
-:04030E0000C30043E5
-:04030F0000C40043E3
-:0403100000C50044E0
-:0403110000C60044DE
-:0403120000C70044DC
-:0403130000C80045D9
-:0403140000C80045D8
-:0403150000C90045D6
-:0403160000CA0046D3
-:0403170000CB0046D1
-:0403180000CC0046CF
-:0403190000CD0047CC
-:04031A0000CE0047CA
-:04031B0000CF0047C8
-:04031C0000D00048C5
-:04031D0000D10048C3
-:04031E0000D20048C1
-:04031F0000D30049BE
-:0403200000D40049BC
-:0403210000D50049BA
-:0403220000D6004AB7
-:0403230000D7004AB5
-:0403240000D8004AB3
-:0403250000D9004BB0
-:0403260000D9004BAF
-:0403270000DA004BAD
-:0403280000DB004CAA
-:0403290000DC004CA8
-:04032A0000DD004CA6
-:04032B0000DE004DA3
-:04032C0000DF004DA1
-:04032D0000E0004D9F
-:04032E0000E1004D9D
-:04032F0000E2004E9A
-:0403300000E3004E98
-:0403310000E4004E96
-:0403320000E5004F93
-:0403330000E6004F91
-:0403340000E7004F8F
-:0403350000E800508C
-:0403360000E900508A
-:0403370000EA005088
-:0403380000EA005186
-:0403390000EB005184
-:04033A0000EC005182
-:04033B0000ED00527F
-:04033C0000EE00527D
-:04033D0000EF00527B
-:04033E0000F0005378
-:04033F0000F1005376
-:0403400000B6003FC4
-:0403410000B6003FC3
-:0403420000B7003FC1
-:0403430000B8003FBF
-:0403440000B90040BC
-:0403450000BA0040BA
-:0403460000BB0040B8
-:0403470000BC0041B5
-:0403480000BD0041B3
-:0403490000BE0041B1
-:04034A0000BF0042AE
-:04034B0000C00042AC
-:04034C0000C10042AA
-:04034D0000C20043A7
-:04034E0000C30043A5
-:04034F0000C40043A3
-:0403500000C50044A0
-:0403510000C600449E
-:0403520000C700449C
-:0403530000C8004599
-:0403540000C8004598
-:0403550000C9004596
-:0403560000CA004693
-:0403570000CB004691
-:0403580000CC00468F
-:0403590000CD00478C
-:04035A0000CE00478A
-:04035B0000CF004788
-:04035C0000D0004885
-:04035D0000D1004883
-:04035E0000D2004881
-:04035F0000D300497E
-:0403600000D400497C
-:0403610000D500497A
-:0403620000D6004A77
-:0403630000D7004A75
-:0403640000D8004A73
-:0403650000D9004B70
-:0403660000D9004B6F
-:0403670000DA004B6D
-:0403680000DB004C6A
-:0403690000DC004C68
-:04036A0000DD004C66
-:04036B0000DE004D63
-:04036C0000DF004D61
-:04036D0000E0004D5F
-:04036E0000E1004D5D
-:04036F0000E2004E5A
-:0403700000E3004E58
-:0403710000E4004E56
-:0403720000E5004F53
-:0403730000E6004F51
-:0403740000E7004F4F
-:0403750000E800504C
-:0403760000E900504A
-:0403770000EA005048
-:0403780000EA005146
-:0403790000EB005144
-:04037A0000EC005142
-:04037B0000ED00523F
-:04037C0000EE00523D
-:04037D0000EF00523B
-:04037E0000F0005338
-:04037F0000F1005336
-:0403800000B6003F84
-:0403810000B6003F83
-:0403820000B7003F81
-:0403830000B8003F7F
-:0403840000B900407C
-:0403850000BA00407A
-:0403860000BB004078
-:0403870000BC004175
-:0403880000BD004173
-:0403890000BE004171
-:04038A0000BF00426E
-:04038B0000C000426C
-:04038C0000C100426A
-:04038D0000C2004367
-:04038E0000C3004365
-:04038F0000C4004363
-:0403900000C5004460
-:0403910000C600445E
-:0403920000C700445C
-:0403930000C8004559
-:0403940000C8004558
-:0403950000C9004556
-:0403960000CA004653
-:0403970000CB004651
-:0403980000CC00464F
-:0403990000CD00474C
-:04039A0000CE00474A
-:04039B0000CF004748
-:04039C0000D0004845
-:04039D0000D1004843
-:04039E0000D2004841
-:04039F0000D300493E
-:0403A00000D400493C
-:0403A10000D500493A
-:0403A20000D6004A37
-:0403A30000D7004A35
-:0403A40000D8004A33
-:0403A50000D9004B30
-:0403A60000D9004B2F
-:0403A70000DA004B2D
-:0403A80000DB004C2A
-:0403A90000DC004C28
-:0403AA0000DD004C26
-:0403AB0000DE004D23
-:0403AC0000DF004D21
-:0403AD0000E0004D1F
-:0403AE0000E1004D1D
-:0403AF0000E2004E1A
-:0403B00000E3004E18
-:0403B10000E4004E16
-:0403B20000E5004F13
-:0403B30000E6004F11
-:0403B40000E7004F0F
-:0403B50000E800500C
-:0403B60000E900500A
-:0403B70000EA005008
-:0403B80000EA005106
-:0403B90000EB005104
-:0403BA0000EC005102
-:0403BB0000ED0052FF
-:0403BC0000EE0052FD
-:0403BD0000EF0052FB
-:0403BE0000F00053F8
-:0403BF0000F10053F6
-:0403C00000B6003F44
-:0403C10000B6003F43
-:0403C20000B7003F41
-:0403C30000B8003F3F
-:0403C40000B900403C
-:0403C50000BA00403A
-:0403C60000BB004038
-:0403C70000BC004135
-:0403C80000BD004133
-:0403C90000BE004131
-:0403CA0000BF00422E
-:0403CB0000C000422C
-:0403CC0000C100422A
-:0403CD0000C2004327
-:0403CE0000C3004325
-:0403CF0000C4004323
-:0403D00000C5004420
-:0403D10000C600441E
-:0403D20000C700441C
-:0403D30000C8004519
-:0403D40000C8004518
-:0403D50000C9004516
-:0403D60000CA004613
-:0403D70000CB004611
-:0403D80000CC00460F
-:0403D90000CD00470C
-:0403DA0000CE00470A
-:0403DB0000CF004708
-:0403DC0000D0004805
-:0403DD0000D1004803
-:0403DE0000D2004801
-:0403DF0000D30049FE
-:0403E00000D40049FC
-:0403E10000D50049FA
-:0403E20000D6004AF7
-:0403E30000D7004AF5
-:0403E40000D8004AF3
-:0403E50000D9004BF0
-:0403E60000D9004BEF
-:0403E70000DA004BED
-:0403E80000DB004CEA
-:0403E90000DC004CE8
-:0403EA0000DD004CE6
-:0403EB0000DE004DE3
-:0403EC0000DF004DE1
-:0403ED0000E0004DDF
-:0403EE0000E1004DDD
-:0403EF0000E2004EDA
-:0403F00000E3004ED8
-:0403F10000E4004ED6
-:0403F20000E5004FD3
-:0403F30000E6004FD1
-:0403F40000E7004FCF
-:0403F50000E80050CC
-:0403F60000E90050CA
-:0403F70000EA0050C8
-:0403F80000EA0051C6
-:0403F90000EB0051C4
-:0403FA0000EC0051C2
-:0403FB0000ED0052BF
-:0403FC0000EE0052BD
-:0403FD0000EF0052BB
-:0403FE0000F00053B8
-:0403FF0000F10053B6
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_19.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_19.hex
index ff6de0ffbb70b02d88b9fa04550a846d06e02be9..ff167bd9bce2086c9cb1dcd18ba59118c51363c6 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_19.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_19.hex
@@ -62,964 +62,4 @@
 :04003D0000EE00577A
 :04003E0000EF005778
 :04003F0000F0005776
-:0400400000B40042C6
-:0400410000B50042C4
-:0400420000B60042C2
-:0400430000B70043BF
-:0400440000B80043BD
-:0400450000B90043BB
-:0400460000BA0044B8
-:0400470000BB0044B6
-:0400480000BC0044B4
-:0400490000BD0045B1
-:04004A0000BE0045AF
-:04004B0000BF0045AD
-:04004C0000C00046AA
-:04004D0000C10046A8
-:04004E0000C20046A6
-:04004F0000C30047A3
-:0400500000C30047A2
-:0400510000C40047A0
-:0400520000C500489D
-:0400530000C600489B
-:0400540000C7004998
-:0400550000C8004996
-:0400560000C9004994
-:0400570000CA004A91
-:0400580000CB004A8F
-:0400590000CC004A8D
-:04005A0000CD004B8A
-:04005B0000CE004B88
-:04005C0000CF004B86
-:04005D0000D0004C83
-:04005E0000D1004C81
-:04005F0000D2004C7F
-:0400600000D2004D7D
-:0400610000D3004D7B
-:0400620000D4004D79
-:0400630000D5004E76
-:0400640000D6004E74
-:0400650000D7004E72
-:0400660000D8004F6F
-:0400670000D9004F6D
-:0400680000DA004F6B
-:0400690000DB005068
-:04006A0000DC005066
-:04006B0000DD005064
-:04006C0000DE005161
-:04006D0000DF00515F
-:04006E0000E000515D
-:04006F0000E100525A
-:0400700000E2005258
-:0400710000E2005257
-:0400720000E3005354
-:0400730000E4005352
-:0400740000E5005350
-:0400750000E600544D
-:0400760000E700544B
-:0400770000E8005449
-:0400780000E9005546
-:0400790000EA005544
-:04007A0000EB005641
-:04007B0000EC00563F
-:04007C0000ED00563D
-:04007D0000EE00573A
-:04007E0000EF005738
-:04007F0000F0005736
-:0400800000B4004286
-:0400810000B5004284
-:0400820000B6004282
-:0400830000B700437F
-:0400840000B800437D
-:0400850000B900437B
-:0400860000BA004478
-:0400870000BB004476
-:0400880000BC004474
-:0400890000BD004571
-:04008A0000BE00456F
-:04008B0000BF00456D
-:04008C0000C000466A
-:04008D0000C1004668
-:04008E0000C2004666
-:04008F0000C3004763
-:0400900000C3004762
-:0400910000C4004760
-:0400920000C500485D
-:0400930000C600485B
-:0400940000C7004958
-:0400950000C8004956
-:0400960000C9004954
-:0400970000CA004A51
-:0400980000CB004A4F
-:0400990000CC004A4D
-:04009A0000CD004B4A
-:04009B0000CE004B48
-:04009C0000CF004B46
-:04009D0000D0004C43
-:04009E0000D1004C41
-:04009F0000D2004C3F
-:0400A00000D2004D3D
-:0400A10000D3004D3B
-:0400A20000D4004D39
-:0400A30000D5004E36
-:0400A40000D6004E34
-:0400A50000D7004E32
-:0400A60000D8004F2F
-:0400A70000D9004F2D
-:0400A80000DA004F2B
-:0400A90000DB005028
-:0400AA0000DC005026
-:0400AB0000DD005024
-:0400AC0000DE005121
-:0400AD0000DF00511F
-:0400AE0000E000511D
-:0400AF0000E100521A
-:0400B00000E2005218
-:0400B10000E2005217
-:0400B20000E3005314
-:0400B30000E4005312
-:0400B40000E5005310
-:0400B50000E600540D
-:0400B60000E700540B
-:0400B70000E8005409
-:0400B80000E9005506
-:0400B90000EA005504
-:0400BA0000EB005601
-:0400BB0000EC0056FF
-:0400BC0000ED0056FD
-:0400BD0000EE0057FA
-:0400BE0000EF0057F8
-:0400BF0000F00057F6
-:0400C00000B4004246
-:0400C10000B5004244
-:0400C20000B6004242
-:0400C30000B700433F
-:0400C40000B800433D
-:0400C50000B900433B
-:0400C60000BA004438
-:0400C70000BB004436
-:0400C80000BC004434
-:0400C90000BD004531
-:0400CA0000BE00452F
-:0400CB0000BF00452D
-:0400CC0000C000462A
-:0400CD0000C1004628
-:0400CE0000C2004626
-:0400CF0000C3004723
-:0400D00000C3004722
-:0400D10000C4004720
-:0400D20000C500481D
-:0400D30000C600481B
-:0400D40000C7004918
-:0400D50000C8004916
-:0400D60000C9004914
-:0400D70000CA004A11
-:0400D80000CB004A0F
-:0400D90000CC004A0D
-:0400DA0000CD004B0A
-:0400DB0000CE004B08
-:0400DC0000CF004B06
-:0400DD0000D0004C03
-:0400DE0000D1004C01
-:0400DF0000D2004CFF
-:0400E00000D2004DFD
-:0400E10000D3004DFB
-:0400E20000D4004DF9
-:0400E30000D5004EF6
-:0400E40000D6004EF4
-:0400E50000D7004EF2
-:0400E60000D8004FEF
-:0400E70000D9004FED
-:0400E80000DA004FEB
-:0400E90000DB0050E8
-:0400EA0000DC0050E6
-:0400EB0000DD0050E4
-:0400EC0000DE0051E1
-:0400ED0000DF0051DF
-:0400EE0000E00051DD
-:0400EF0000E10052DA
-:0400F00000E20052D8
-:0400F10000E20052D7
-:0400F20000E30053D4
-:0400F30000E40053D2
-:0400F40000E50053D0
-:0400F50000E60054CD
-:0400F60000E70054CB
-:0400F70000E80054C9
-:0400F80000E90055C6
-:0400F90000EA0055C4
-:0400FA0000EB0056C1
-:0400FB0000EC0056BF
-:0400FC0000ED0056BD
-:0400FD0000EE0057BA
-:0400FE0000EF0057B8
-:0400FF0000F00057B6
-:0401000000B4004205
-:0401010000B5004203
-:0401020000B6004201
-:0401030000B70043FE
-:0401040000B80043FC
-:0401050000B90043FA
-:0401060000BA0044F7
-:0401070000BB0044F5
-:0401080000BC0044F3
-:0401090000BD0045F0
-:04010A0000BE0045EE
-:04010B0000BF0045EC
-:04010C0000C00046E9
-:04010D0000C10046E7
-:04010E0000C20046E5
-:04010F0000C30047E2
-:0401100000C30047E1
-:0401110000C40047DF
-:0401120000C50048DC
-:0401130000C60048DA
-:0401140000C70049D7
-:0401150000C80049D5
-:0401160000C90049D3
-:0401170000CA004AD0
-:0401180000CB004ACE
-:0401190000CC004ACC
-:04011A0000CD004BC9
-:04011B0000CE004BC7
-:04011C0000CF004BC5
-:04011D0000D0004CC2
-:04011E0000D1004CC0
-:04011F0000D2004CBE
-:0401200000D2004DBC
-:0401210000D3004DBA
-:0401220000D4004DB8
-:0401230000D5004EB5
-:0401240000D6004EB3
-:0401250000D7004EB1
-:0401260000D8004FAE
-:0401270000D9004FAC
-:0401280000DA004FAA
-:0401290000DB0050A7
-:04012A0000DC0050A5
-:04012B0000DD0050A3
-:04012C0000DE0051A0
-:04012D0000DF00519E
-:04012E0000E000519C
-:04012F0000E1005299
-:0401300000E2005297
-:0401310000E2005296
-:0401320000E3005393
-:0401330000E4005391
-:0401340000E500538F
-:0401350000E600548C
-:0401360000E700548A
-:0401370000E8005488
-:0401380000E9005585
-:0401390000EA005583
-:04013A0000EB005680
-:04013B0000EC00567E
-:04013C0000ED00567C
-:04013D0000EE005779
-:04013E0000EF005777
-:04013F0000F0005775
-:0401400000B40042C5
-:0401410000B50042C3
-:0401420000B60042C1
-:0401430000B70043BE
-:0401440000B80043BC
-:0401450000B90043BA
-:0401460000BA0044B7
-:0401470000BB0044B5
-:0401480000BC0044B3
-:0401490000BD0045B0
-:04014A0000BE0045AE
-:04014B0000BF0045AC
-:04014C0000C00046A9
-:04014D0000C10046A7
-:04014E0000C20046A5
-:04014F0000C30047A2
-:0401500000C30047A1
-:0401510000C400479F
-:0401520000C500489C
-:0401530000C600489A
-:0401540000C7004997
-:0401550000C8004995
-:0401560000C9004993
-:0401570000CA004A90
-:0401580000CB004A8E
-:0401590000CC004A8C
-:04015A0000CD004B89
-:04015B0000CE004B87
-:04015C0000CF004B85
-:04015D0000D0004C82
-:04015E0000D1004C80
-:04015F0000D2004C7E
-:0401600000D2004D7C
-:0401610000D3004D7A
-:0401620000D4004D78
-:0401630000D5004E75
-:0401640000D6004E73
-:0401650000D7004E71
-:0401660000D8004F6E
-:0401670000D9004F6C
-:0401680000DA004F6A
-:0401690000DB005067
-:04016A0000DC005065
-:04016B0000DD005063
-:04016C0000DE005160
-:04016D0000DF00515E
-:04016E0000E000515C
-:04016F0000E1005259
-:0401700000E2005257
-:0401710000E2005256
-:0401720000E3005353
-:0401730000E4005351
-:0401740000E500534F
-:0401750000E600544C
-:0401760000E700544A
-:0401770000E8005448
-:0401780000E9005545
-:0401790000EA005543
-:04017A0000EB005640
-:04017B0000EC00563E
-:04017C0000ED00563C
-:04017D0000EE005739
-:04017E0000EF005737
-:04017F0000F0005735
-:0401800000B4004285
-:0401810000B5004283
-:0401820000B6004281
-:0401830000B700437E
-:0401840000B800437C
-:0401850000B900437A
-:0401860000BA004477
-:0401870000BB004475
-:0401880000BC004473
-:0401890000BD004570
-:04018A0000BE00456E
-:04018B0000BF00456C
-:04018C0000C0004669
-:04018D0000C1004667
-:04018E0000C2004665
-:04018F0000C3004762
-:0401900000C3004761
-:0401910000C400475F
-:0401920000C500485C
-:0401930000C600485A
-:0401940000C7004957
-:0401950000C8004955
-:0401960000C9004953
-:0401970000CA004A50
-:0401980000CB004A4E
-:0401990000CC004A4C
-:04019A0000CD004B49
-:04019B0000CE004B47
-:04019C0000CF004B45
-:04019D0000D0004C42
-:04019E0000D1004C40
-:04019F0000D2004C3E
-:0401A00000D2004D3C
-:0401A10000D3004D3A
-:0401A20000D4004D38
-:0401A30000D5004E35
-:0401A40000D6004E33
-:0401A50000D7004E31
-:0401A60000D8004F2E
-:0401A70000D9004F2C
-:0401A80000DA004F2A
-:0401A90000DB005027
-:0401AA0000DC005025
-:0401AB0000DD005023
-:0401AC0000DE005120
-:0401AD0000DF00511E
-:0401AE0000E000511C
-:0401AF0000E1005219
-:0401B00000E2005217
-:0401B10000E2005216
-:0401B20000E3005313
-:0401B30000E4005311
-:0401B40000E500530F
-:0401B50000E600540C
-:0401B60000E700540A
-:0401B70000E8005408
-:0401B80000E9005505
-:0401B90000EA005503
-:0401BA0000EB005600
-:0401BB0000EC0056FE
-:0401BC0000ED0056FC
-:0401BD0000EE0057F9
-:0401BE0000EF0057F7
-:0401BF0000F00057F5
-:0401C00000B4004245
-:0401C10000B5004243
-:0401C20000B6004241
-:0401C30000B700433E
-:0401C40000B800433C
-:0401C50000B900433A
-:0401C60000BA004437
-:0401C70000BB004435
-:0401C80000BC004433
-:0401C90000BD004530
-:0401CA0000BE00452E
-:0401CB0000BF00452C
-:0401CC0000C0004629
-:0401CD0000C1004627
-:0401CE0000C2004625
-:0401CF0000C3004722
-:0401D00000C3004721
-:0401D10000C400471F
-:0401D20000C500481C
-:0401D30000C600481A
-:0401D40000C7004917
-:0401D50000C8004915
-:0401D60000C9004913
-:0401D70000CA004A10
-:0401D80000CB004A0E
-:0401D90000CC004A0C
-:0401DA0000CD004B09
-:0401DB0000CE004B07
-:0401DC0000CF004B05
-:0401DD0000D0004C02
-:0401DE0000D1004C00
-:0401DF0000D2004CFE
-:0401E00000D2004DFC
-:0401E10000D3004DFA
-:0401E20000D4004DF8
-:0401E30000D5004EF5
-:0401E40000D6004EF3
-:0401E50000D7004EF1
-:0401E60000D8004FEE
-:0401E70000D9004FEC
-:0401E80000DA004FEA
-:0401E90000DB0050E7
-:0401EA0000DC0050E5
-:0401EB0000DD0050E3
-:0401EC0000DE0051E0
-:0401ED0000DF0051DE
-:0401EE0000E00051DC
-:0401EF0000E10052D9
-:0401F00000E20052D7
-:0401F10000E20052D6
-:0401F20000E30053D3
-:0401F30000E40053D1
-:0401F40000E50053CF
-:0401F50000E60054CC
-:0401F60000E70054CA
-:0401F70000E80054C8
-:0401F80000E90055C5
-:0401F90000EA0055C3
-:0401FA0000EB0056C0
-:0401FB0000EC0056BE
-:0401FC0000ED0056BC
-:0401FD0000EE0057B9
-:0401FE0000EF0057B7
-:0401FF0000F00057B5
-:0402000000B4004204
-:0402010000B5004202
-:0402020000B6004200
-:0402030000B70043FD
-:0402040000B80043FB
-:0402050000B90043F9
-:0402060000BA0044F6
-:0402070000BB0044F4
-:0402080000BC0044F2
-:0402090000BD0045EF
-:04020A0000BE0045ED
-:04020B0000BF0045EB
-:04020C0000C00046E8
-:04020D0000C10046E6
-:04020E0000C20046E4
-:04020F0000C30047E1
-:0402100000C30047E0
-:0402110000C40047DE
-:0402120000C50048DB
-:0402130000C60048D9
-:0402140000C70049D6
-:0402150000C80049D4
-:0402160000C90049D2
-:0402170000CA004ACF
-:0402180000CB004ACD
-:0402190000CC004ACB
-:04021A0000CD004BC8
-:04021B0000CE004BC6
-:04021C0000CF004BC4
-:04021D0000D0004CC1
-:04021E0000D1004CBF
-:04021F0000D2004CBD
-:0402200000D2004DBB
-:0402210000D3004DB9
-:0402220000D4004DB7
-:0402230000D5004EB4
-:0402240000D6004EB2
-:0402250000D7004EB0
-:0402260000D8004FAD
-:0402270000D9004FAB
-:0402280000DA004FA9
-:0402290000DB0050A6
-:04022A0000DC0050A4
-:04022B0000DD0050A2
-:04022C0000DE00519F
-:04022D0000DF00519D
-:04022E0000E000519B
-:04022F0000E1005298
-:0402300000E2005296
-:0402310000E2005295
-:0402320000E3005392
-:0402330000E4005390
-:0402340000E500538E
-:0402350000E600548B
-:0402360000E7005489
-:0402370000E8005487
-:0402380000E9005584
-:0402390000EA005582
-:04023A0000EB00567F
-:04023B0000EC00567D
-:04023C0000ED00567B
-:04023D0000EE005778
-:04023E0000EF005776
-:04023F0000F0005774
-:0402400000B40042C4
-:0402410000B50042C2
-:0402420000B60042C0
-:0402430000B70043BD
-:0402440000B80043BB
-:0402450000B90043B9
-:0402460000BA0044B6
-:0402470000BB0044B4
-:0402480000BC0044B2
-:0402490000BD0045AF
-:04024A0000BE0045AD
-:04024B0000BF0045AB
-:04024C0000C00046A8
-:04024D0000C10046A6
-:04024E0000C20046A4
-:04024F0000C30047A1
-:0402500000C30047A0
-:0402510000C400479E
-:0402520000C500489B
-:0402530000C6004899
-:0402540000C7004996
-:0402550000C8004994
-:0402560000C9004992
-:0402570000CA004A8F
-:0402580000CB004A8D
-:0402590000CC004A8B
-:04025A0000CD004B88
-:04025B0000CE004B86
-:04025C0000CF004B84
-:04025D0000D0004C81
-:04025E0000D1004C7F
-:04025F0000D2004C7D
-:0402600000D2004D7B
-:0402610000D3004D79
-:0402620000D4004D77
-:0402630000D5004E74
-:0402640000D6004E72
-:0402650000D7004E70
-:0402660000D8004F6D
-:0402670000D9004F6B
-:0402680000DA004F69
-:0402690000DB005066
-:04026A0000DC005064
-:04026B0000DD005062
-:04026C0000DE00515F
-:04026D0000DF00515D
-:04026E0000E000515B
-:04026F0000E1005258
-:0402700000E2005256
-:0402710000E2005255
-:0402720000E3005352
-:0402730000E4005350
-:0402740000E500534E
-:0402750000E600544B
-:0402760000E7005449
-:0402770000E8005447
-:0402780000E9005544
-:0402790000EA005542
-:04027A0000EB00563F
-:04027B0000EC00563D
-:04027C0000ED00563B
-:04027D0000EE005738
-:04027E0000EF005736
-:04027F0000F0005734
-:0402800000B4004284
-:0402810000B5004282
-:0402820000B6004280
-:0402830000B700437D
-:0402840000B800437B
-:0402850000B9004379
-:0402860000BA004476
-:0402870000BB004474
-:0402880000BC004472
-:0402890000BD00456F
-:04028A0000BE00456D
-:04028B0000BF00456B
-:04028C0000C0004668
-:04028D0000C1004666
-:04028E0000C2004664
-:04028F0000C3004761
-:0402900000C3004760
-:0402910000C400475E
-:0402920000C500485B
-:0402930000C6004859
-:0402940000C7004956
-:0402950000C8004954
-:0402960000C9004952
-:0402970000CA004A4F
-:0402980000CB004A4D
-:0402990000CC004A4B
-:04029A0000CD004B48
-:04029B0000CE004B46
-:04029C0000CF004B44
-:04029D0000D0004C41
-:04029E0000D1004C3F
-:04029F0000D2004C3D
-:0402A00000D2004D3B
-:0402A10000D3004D39
-:0402A20000D4004D37
-:0402A30000D5004E34
-:0402A40000D6004E32
-:0402A50000D7004E30
-:0402A60000D8004F2D
-:0402A70000D9004F2B
-:0402A80000DA004F29
-:0402A90000DB005026
-:0402AA0000DC005024
-:0402AB0000DD005022
-:0402AC0000DE00511F
-:0402AD0000DF00511D
-:0402AE0000E000511B
-:0402AF0000E1005218
-:0402B00000E2005216
-:0402B10000E2005215
-:0402B20000E3005312
-:0402B30000E4005310
-:0402B40000E500530E
-:0402B50000E600540B
-:0402B60000E7005409
-:0402B70000E8005407
-:0402B80000E9005504
-:0402B90000EA005502
-:0402BA0000EB0056FF
-:0402BB0000EC0056FD
-:0402BC0000ED0056FB
-:0402BD0000EE0057F8
-:0402BE0000EF0057F6
-:0402BF0000F00057F4
-:0402C00000B4004244
-:0402C10000B5004242
-:0402C20000B6004240
-:0402C30000B700433D
-:0402C40000B800433B
-:0402C50000B9004339
-:0402C60000BA004436
-:0402C70000BB004434
-:0402C80000BC004432
-:0402C90000BD00452F
-:0402CA0000BE00452D
-:0402CB0000BF00452B
-:0402CC0000C0004628
-:0402CD0000C1004626
-:0402CE0000C2004624
-:0402CF0000C3004721
-:0402D00000C3004720
-:0402D10000C400471E
-:0402D20000C500481B
-:0402D30000C6004819
-:0402D40000C7004916
-:0402D50000C8004914
-:0402D60000C9004912
-:0402D70000CA004A0F
-:0402D80000CB004A0D
-:0402D90000CC004A0B
-:0402DA0000CD004B08
-:0402DB0000CE004B06
-:0402DC0000CF004B04
-:0402DD0000D0004C01
-:0402DE0000D1004CFF
-:0402DF0000D2004CFD
-:0402E00000D2004DFB
-:0402E10000D3004DF9
-:0402E20000D4004DF7
-:0402E30000D5004EF4
-:0402E40000D6004EF2
-:0402E50000D7004EF0
-:0402E60000D8004FED
-:0402E70000D9004FEB
-:0402E80000DA004FE9
-:0402E90000DB0050E6
-:0402EA0000DC0050E4
-:0402EB0000DD0050E2
-:0402EC0000DE0051DF
-:0402ED0000DF0051DD
-:0402EE0000E00051DB
-:0402EF0000E10052D8
-:0402F00000E20052D6
-:0402F10000E20052D5
-:0402F20000E30053D2
-:0402F30000E40053D0
-:0402F40000E50053CE
-:0402F50000E60054CB
-:0402F60000E70054C9
-:0402F70000E80054C7
-:0402F80000E90055C4
-:0402F90000EA0055C2
-:0402FA0000EB0056BF
-:0402FB0000EC0056BD
-:0402FC0000ED0056BB
-:0402FD0000EE0057B8
-:0402FE0000EF0057B6
-:0402FF0000F00057B4
-:0403000000B4004203
-:0403010000B5004201
-:0403020000B60042FF
-:0403030000B70043FC
-:0403040000B80043FA
-:0403050000B90043F8
-:0403060000BA0044F5
-:0403070000BB0044F3
-:0403080000BC0044F1
-:0403090000BD0045EE
-:04030A0000BE0045EC
-:04030B0000BF0045EA
-:04030C0000C00046E7
-:04030D0000C10046E5
-:04030E0000C20046E3
-:04030F0000C30047E0
-:0403100000C30047DF
-:0403110000C40047DD
-:0403120000C50048DA
-:0403130000C60048D8
-:0403140000C70049D5
-:0403150000C80049D3
-:0403160000C90049D1
-:0403170000CA004ACE
-:0403180000CB004ACC
-:0403190000CC004ACA
-:04031A0000CD004BC7
-:04031B0000CE004BC5
-:04031C0000CF004BC3
-:04031D0000D0004CC0
-:04031E0000D1004CBE
-:04031F0000D2004CBC
-:0403200000D2004DBA
-:0403210000D3004DB8
-:0403220000D4004DB6
-:0403230000D5004EB3
-:0403240000D6004EB1
-:0403250000D7004EAF
-:0403260000D8004FAC
-:0403270000D9004FAA
-:0403280000DA004FA8
-:0403290000DB0050A5
-:04032A0000DC0050A3
-:04032B0000DD0050A1
-:04032C0000DE00519E
-:04032D0000DF00519C
-:04032E0000E000519A
-:04032F0000E1005297
-:0403300000E2005295
-:0403310000E2005294
-:0403320000E3005391
-:0403330000E400538F
-:0403340000E500538D
-:0403350000E600548A
-:0403360000E7005488
-:0403370000E8005486
-:0403380000E9005583
-:0403390000EA005581
-:04033A0000EB00567E
-:04033B0000EC00567C
-:04033C0000ED00567A
-:04033D0000EE005777
-:04033E0000EF005775
-:04033F0000F0005773
-:0403400000B40042C3
-:0403410000B50042C1
-:0403420000B60042BF
-:0403430000B70043BC
-:0403440000B80043BA
-:0403450000B90043B8
-:0403460000BA0044B5
-:0403470000BB0044B3
-:0403480000BC0044B1
-:0403490000BD0045AE
-:04034A0000BE0045AC
-:04034B0000BF0045AA
-:04034C0000C00046A7
-:04034D0000C10046A5
-:04034E0000C20046A3
-:04034F0000C30047A0
-:0403500000C300479F
-:0403510000C400479D
-:0403520000C500489A
-:0403530000C6004898
-:0403540000C7004995
-:0403550000C8004993
-:0403560000C9004991
-:0403570000CA004A8E
-:0403580000CB004A8C
-:0403590000CC004A8A
-:04035A0000CD004B87
-:04035B0000CE004B85
-:04035C0000CF004B83
-:04035D0000D0004C80
-:04035E0000D1004C7E
-:04035F0000D2004C7C
-:0403600000D2004D7A
-:0403610000D3004D78
-:0403620000D4004D76
-:0403630000D5004E73
-:0403640000D6004E71
-:0403650000D7004E6F
-:0403660000D8004F6C
-:0403670000D9004F6A
-:0403680000DA004F68
-:0403690000DB005065
-:04036A0000DC005063
-:04036B0000DD005061
-:04036C0000DE00515E
-:04036D0000DF00515C
-:04036E0000E000515A
-:04036F0000E1005257
-:0403700000E2005255
-:0403710000E2005254
-:0403720000E3005351
-:0403730000E400534F
-:0403740000E500534D
-:0403750000E600544A
-:0403760000E7005448
-:0403770000E8005446
-:0403780000E9005543
-:0403790000EA005541
-:04037A0000EB00563E
-:04037B0000EC00563C
-:04037C0000ED00563A
-:04037D0000EE005737
-:04037E0000EF005735
-:04037F0000F0005733
-:0403800000B4004283
-:0403810000B5004281
-:0403820000B600427F
-:0403830000B700437C
-:0403840000B800437A
-:0403850000B9004378
-:0403860000BA004475
-:0403870000BB004473
-:0403880000BC004471
-:0403890000BD00456E
-:04038A0000BE00456C
-:04038B0000BF00456A
-:04038C0000C0004667
-:04038D0000C1004665
-:04038E0000C2004663
-:04038F0000C3004760
-:0403900000C300475F
-:0403910000C400475D
-:0403920000C500485A
-:0403930000C6004858
-:0403940000C7004955
-:0403950000C8004953
-:0403960000C9004951
-:0403970000CA004A4E
-:0403980000CB004A4C
-:0403990000CC004A4A
-:04039A0000CD004B47
-:04039B0000CE004B45
-:04039C0000CF004B43
-:04039D0000D0004C40
-:04039E0000D1004C3E
-:04039F0000D2004C3C
-:0403A00000D2004D3A
-:0403A10000D3004D38
-:0403A20000D4004D36
-:0403A30000D5004E33
-:0403A40000D6004E31
-:0403A50000D7004E2F
-:0403A60000D8004F2C
-:0403A70000D9004F2A
-:0403A80000DA004F28
-:0403A90000DB005025
-:0403AA0000DC005023
-:0403AB0000DD005021
-:0403AC0000DE00511E
-:0403AD0000DF00511C
-:0403AE0000E000511A
-:0403AF0000E1005217
-:0403B00000E2005215
-:0403B10000E2005214
-:0403B20000E3005311
-:0403B30000E400530F
-:0403B40000E500530D
-:0403B50000E600540A
-:0403B60000E7005408
-:0403B70000E8005406
-:0403B80000E9005503
-:0403B90000EA005501
-:0403BA0000EB0056FE
-:0403BB0000EC0056FC
-:0403BC0000ED0056FA
-:0403BD0000EE0057F7
-:0403BE0000EF0057F5
-:0403BF0000F00057F3
-:0403C00000B4004243
-:0403C10000B5004241
-:0403C20000B600423F
-:0403C30000B700433C
-:0403C40000B800433A
-:0403C50000B9004338
-:0403C60000BA004435
-:0403C70000BB004433
-:0403C80000BC004431
-:0403C90000BD00452E
-:0403CA0000BE00452C
-:0403CB0000BF00452A
-:0403CC0000C0004627
-:0403CD0000C1004625
-:0403CE0000C2004623
-:0403CF0000C3004720
-:0403D00000C300471F
-:0403D10000C400471D
-:0403D20000C500481A
-:0403D30000C6004818
-:0403D40000C7004915
-:0403D50000C8004913
-:0403D60000C9004911
-:0403D70000CA004A0E
-:0403D80000CB004A0C
-:0403D90000CC004A0A
-:0403DA0000CD004B07
-:0403DB0000CE004B05
-:0403DC0000CF004B03
-:0403DD0000D0004C00
-:0403DE0000D1004CFE
-:0403DF0000D2004CFC
-:0403E00000D2004DFA
-:0403E10000D3004DF8
-:0403E20000D4004DF6
-:0403E30000D5004EF3
-:0403E40000D6004EF1
-:0403E50000D7004EEF
-:0403E60000D8004FEC
-:0403E70000D9004FEA
-:0403E80000DA004FE8
-:0403E90000DB0050E5
-:0403EA0000DC0050E3
-:0403EB0000DD0050E1
-:0403EC0000DE0051DE
-:0403ED0000DF0051DC
-:0403EE0000E00051DA
-:0403EF0000E10052D7
-:0403F00000E20052D5
-:0403F10000E20052D4
-:0403F20000E30053D1
-:0403F30000E40053CF
-:0403F40000E50053CD
-:0403F50000E60054CA
-:0403F60000E70054C8
-:0403F70000E80054C6
-:0403F80000E90055C3
-:0403F90000EA0055C1
-:0403FA0000EB0056BE
-:0403FB0000EC0056BC
-:0403FC0000ED0056BA
-:0403FD0000EE0057B7
-:0403FE0000EF0057B5
-:0403FF0000F00057B3
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_2.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_2.hex
index 9de28c01f51e152fae772e5fb3c16f75dfb5dec9..fff2be3295c2d059b880159d69457f065094d0dc 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_2.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_2.hex
@@ -62,964 +62,4 @@
 :04003D0000FD000DB5
 :04003E0000FE000DB3
 :04003F0000FF000DB1
-:0400400000C0000AF2
-:0400410000C1000AF0
-:0400420000C2000AEE
-:0400430000C3000AEC
-:0400440000C4000AEA
-:0400450000C5000AE8
-:0400460000C6000AE6
-:0400470000C7000AE4
-:0400480000C8000AE2
-:0400490000C9000BDF
-:04004A0000CA000BDD
-:04004B0000CB000BDB
-:04004C0000CC000BD9
-:04004D0000CD000BD7
-:04004E0000CE000BD5
-:04004F0000CF000BD3
-:0400500000D0000BD1
-:0400510000D1000BCF
-:0400520000D2000BCD
-:0400530000D3000BCB
-:0400540000D4000BC9
-:0400550000D5000BC7
-:0400560000D6000BC5
-:0400570000D7000BC3
-:0400580000D8000BC1
-:0400590000D9000BBF
-:04005A0000DA000BBD
-:04005B0000DB000BBB
-:04005C0000DC000CB8
-:04005D0000DD000CB6
-:04005E0000DE000CB4
-:04005F0000DF000CB2
-:0400600000E0000CB0
-:0400610000E1000CAE
-:0400620000E2000CAC
-:0400630000E3000CAA
-:0400640000E4000CA8
-:0400650000E5000CA6
-:0400660000E6000CA4
-:0400670000E7000CA2
-:0400680000E8000CA0
-:0400690000E9000C9E
-:04006A0000EA000C9C
-:04006B0000EB000C9A
-:04006C0000EC000C98
-:04006D0000ED000C96
-:04006E0000EE000C94
-:04006F0000EF000D91
-:0400700000F0000D8F
-:0400710000F1000D8D
-:0400720000F2000D8B
-:0400730000F3000D89
-:0400740000F4000D87
-:0400750000F5000D85
-:0400760000F6000D83
-:0400770000F7000D81
-:0400780000F8000D7F
-:0400790000F9000D7D
-:04007A0000FA000D7B
-:04007B0000FB000D79
-:04007C0000FC000D77
-:04007D0000FD000D75
-:04007E0000FE000D73
-:04007F0000FF000D71
-:0400800000C0000AB2
-:0400810000C1000AB0
-:0400820000C2000AAE
-:0400830000C3000AAC
-:0400840000C4000AAA
-:0400850000C5000AA8
-:0400860000C6000AA6
-:0400870000C7000AA4
-:0400880000C8000AA2
-:0400890000C9000B9F
-:04008A0000CA000B9D
-:04008B0000CB000B9B
-:04008C0000CC000B99
-:04008D0000CD000B97
-:04008E0000CE000B95
-:04008F0000CF000B93
-:0400900000D0000B91
-:0400910000D1000B8F
-:0400920000D2000B8D
-:0400930000D3000B8B
-:0400940000D4000B89
-:0400950000D5000B87
-:0400960000D6000B85
-:0400970000D7000B83
-:0400980000D8000B81
-:0400990000D9000B7F
-:04009A0000DA000B7D
-:04009B0000DB000B7B
-:04009C0000DC000C78
-:04009D0000DD000C76
-:04009E0000DE000C74
-:04009F0000DF000C72
-:0400A00000E0000C70
-:0400A10000E1000C6E
-:0400A20000E2000C6C
-:0400A30000E3000C6A
-:0400A40000E4000C68
-:0400A50000E5000C66
-:0400A60000E6000C64
-:0400A70000E7000C62
-:0400A80000E8000C60
-:0400A90000E9000C5E
-:0400AA0000EA000C5C
-:0400AB0000EB000C5A
-:0400AC0000EC000C58
-:0400AD0000ED000C56
-:0400AE0000EE000C54
-:0400AF0000EF000D51
-:0400B00000F0000D4F
-:0400B10000F1000D4D
-:0400B20000F2000D4B
-:0400B30000F3000D49
-:0400B40000F4000D47
-:0400B50000F5000D45
-:0400B60000F6000D43
-:0400B70000F7000D41
-:0400B80000F8000D3F
-:0400B90000F9000D3D
-:0400BA0000FA000D3B
-:0400BB0000FB000D39
-:0400BC0000FC000D37
-:0400BD0000FD000D35
-:0400BE0000FE000D33
-:0400BF0000FF000D31
-:0400C00000C0000A72
-:0400C10000C1000A70
-:0400C20000C2000A6E
-:0400C30000C3000A6C
-:0400C40000C4000A6A
-:0400C50000C5000A68
-:0400C60000C6000A66
-:0400C70000C7000A64
-:0400C80000C8000A62
-:0400C90000C9000B5F
-:0400CA0000CA000B5D
-:0400CB0000CB000B5B
-:0400CC0000CC000B59
-:0400CD0000CD000B57
-:0400CE0000CE000B55
-:0400CF0000CF000B53
-:0400D00000D0000B51
-:0400D10000D1000B4F
-:0400D20000D2000B4D
-:0400D30000D3000B4B
-:0400D40000D4000B49
-:0400D50000D5000B47
-:0400D60000D6000B45
-:0400D70000D7000B43
-:0400D80000D8000B41
-:0400D90000D9000B3F
-:0400DA0000DA000B3D
-:0400DB0000DB000B3B
-:0400DC0000DC000C38
-:0400DD0000DD000C36
-:0400DE0000DE000C34
-:0400DF0000DF000C32
-:0400E00000E0000C30
-:0400E10000E1000C2E
-:0400E20000E2000C2C
-:0400E30000E3000C2A
-:0400E40000E4000C28
-:0400E50000E5000C26
-:0400E60000E6000C24
-:0400E70000E7000C22
-:0400E80000E8000C20
-:0400E90000E9000C1E
-:0400EA0000EA000C1C
-:0400EB0000EB000C1A
-:0400EC0000EC000C18
-:0400ED0000ED000C16
-:0400EE0000EE000C14
-:0400EF0000EF000D11
-:0400F00000F0000D0F
-:0400F10000F1000D0D
-:0400F20000F2000D0B
-:0400F30000F3000D09
-:0400F40000F4000D07
-:0400F50000F5000D05
-:0400F60000F6000D03
-:0400F70000F7000D01
-:0400F80000F8000DFF
-:0400F90000F9000DFD
-:0400FA0000FA000DFB
-:0400FB0000FB000DF9
-:0400FC0000FC000DF7
-:0400FD0000FD000DF5
-:0400FE0000FE000DF3
-:0400FF0000FF000DF1
-:0401000000C0000A31
-:0401010000C1000A2F
-:0401020000C2000A2D
-:0401030000C3000A2B
-:0401040000C4000A29
-:0401050000C5000A27
-:0401060000C6000A25
-:0401070000C7000A23
-:0401080000C8000A21
-:0401090000C9000B1E
-:04010A0000CA000B1C
-:04010B0000CB000B1A
-:04010C0000CC000B18
-:04010D0000CD000B16
-:04010E0000CE000B14
-:04010F0000CF000B12
-:0401100000D0000B10
-:0401110000D1000B0E
-:0401120000D2000B0C
-:0401130000D3000B0A
-:0401140000D4000B08
-:0401150000D5000B06
-:0401160000D6000B04
-:0401170000D7000B02
-:0401180000D8000B00
-:0401190000D9000BFE
-:04011A0000DA000BFC
-:04011B0000DB000BFA
-:04011C0000DC000CF7
-:04011D0000DD000CF5
-:04011E0000DE000CF3
-:04011F0000DF000CF1
-:0401200000E0000CEF
-:0401210000E1000CED
-:0401220000E2000CEB
-:0401230000E3000CE9
-:0401240000E4000CE7
-:0401250000E5000CE5
-:0401260000E6000CE3
-:0401270000E7000CE1
-:0401280000E8000CDF
-:0401290000E9000CDD
-:04012A0000EA000CDB
-:04012B0000EB000CD9
-:04012C0000EC000CD7
-:04012D0000ED000CD5
-:04012E0000EE000CD3
-:04012F0000EF000DD0
-:0401300000F0000DCE
-:0401310000F1000DCC
-:0401320000F2000DCA
-:0401330000F3000DC8
-:0401340000F4000DC6
-:0401350000F5000DC4
-:0401360000F6000DC2
-:0401370000F7000DC0
-:0401380000F8000DBE
-:0401390000F9000DBC
-:04013A0000FA000DBA
-:04013B0000FB000DB8
-:04013C0000FC000DB6
-:04013D0000FD000DB4
-:04013E0000FE000DB2
-:04013F0000FF000DB0
-:0401400000C0000AF1
-:0401410000C1000AEF
-:0401420000C2000AED
-:0401430000C3000AEB
-:0401440000C4000AE9
-:0401450000C5000AE7
-:0401460000C6000AE5
-:0401470000C7000AE3
-:0401480000C8000AE1
-:0401490000C9000BDE
-:04014A0000CA000BDC
-:04014B0000CB000BDA
-:04014C0000CC000BD8
-:04014D0000CD000BD6
-:04014E0000CE000BD4
-:04014F0000CF000BD2
-:0401500000D0000BD0
-:0401510000D1000BCE
-:0401520000D2000BCC
-:0401530000D3000BCA
-:0401540000D4000BC8
-:0401550000D5000BC6
-:0401560000D6000BC4
-:0401570000D7000BC2
-:0401580000D8000BC0
-:0401590000D9000BBE
-:04015A0000DA000BBC
-:04015B0000DB000BBA
-:04015C0000DC000CB7
-:04015D0000DD000CB5
-:04015E0000DE000CB3
-:04015F0000DF000CB1
-:0401600000E0000CAF
-:0401610000E1000CAD
-:0401620000E2000CAB
-:0401630000E3000CA9
-:0401640000E4000CA7
-:0401650000E5000CA5
-:0401660000E6000CA3
-:0401670000E7000CA1
-:0401680000E8000C9F
-:0401690000E9000C9D
-:04016A0000EA000C9B
-:04016B0000EB000C99
-:04016C0000EC000C97
-:04016D0000ED000C95
-:04016E0000EE000C93
-:04016F0000EF000D90
-:0401700000F0000D8E
-:0401710000F1000D8C
-:0401720000F2000D8A
-:0401730000F3000D88
-:0401740000F4000D86
-:0401750000F5000D84
-:0401760000F6000D82
-:0401770000F7000D80
-:0401780000F8000D7E
-:0401790000F9000D7C
-:04017A0000FA000D7A
-:04017B0000FB000D78
-:04017C0000FC000D76
-:04017D0000FD000D74
-:04017E0000FE000D72
-:04017F0000FF000D70
-:0401800000C0000AB1
-:0401810000C1000AAF
-:0401820000C2000AAD
-:0401830000C3000AAB
-:0401840000C4000AA9
-:0401850000C5000AA7
-:0401860000C6000AA5
-:0401870000C7000AA3
-:0401880000C8000AA1
-:0401890000C9000B9E
-:04018A0000CA000B9C
-:04018B0000CB000B9A
-:04018C0000CC000B98
-:04018D0000CD000B96
-:04018E0000CE000B94
-:04018F0000CF000B92
-:0401900000D0000B90
-:0401910000D1000B8E
-:0401920000D2000B8C
-:0401930000D3000B8A
-:0401940000D4000B88
-:0401950000D5000B86
-:0401960000D6000B84
-:0401970000D7000B82
-:0401980000D8000B80
-:0401990000D9000B7E
-:04019A0000DA000B7C
-:04019B0000DB000B7A
-:04019C0000DC000C77
-:04019D0000DD000C75
-:04019E0000DE000C73
-:04019F0000DF000C71
-:0401A00000E0000C6F
-:0401A10000E1000C6D
-:0401A20000E2000C6B
-:0401A30000E3000C69
-:0401A40000E4000C67
-:0401A50000E5000C65
-:0401A60000E6000C63
-:0401A70000E7000C61
-:0401A80000E8000C5F
-:0401A90000E9000C5D
-:0401AA0000EA000C5B
-:0401AB0000EB000C59
-:0401AC0000EC000C57
-:0401AD0000ED000C55
-:0401AE0000EE000C53
-:0401AF0000EF000D50
-:0401B00000F0000D4E
-:0401B10000F1000D4C
-:0401B20000F2000D4A
-:0401B30000F3000D48
-:0401B40000F4000D46
-:0401B50000F5000D44
-:0401B60000F6000D42
-:0401B70000F7000D40
-:0401B80000F8000D3E
-:0401B90000F9000D3C
-:0401BA0000FA000D3A
-:0401BB0000FB000D38
-:0401BC0000FC000D36
-:0401BD0000FD000D34
-:0401BE0000FE000D32
-:0401BF0000FF000D30
-:0401C00000C0000A71
-:0401C10000C1000A6F
-:0401C20000C2000A6D
-:0401C30000C3000A6B
-:0401C40000C4000A69
-:0401C50000C5000A67
-:0401C60000C6000A65
-:0401C70000C7000A63
-:0401C80000C8000A61
-:0401C90000C9000B5E
-:0401CA0000CA000B5C
-:0401CB0000CB000B5A
-:0401CC0000CC000B58
-:0401CD0000CD000B56
-:0401CE0000CE000B54
-:0401CF0000CF000B52
-:0401D00000D0000B50
-:0401D10000D1000B4E
-:0401D20000D2000B4C
-:0401D30000D3000B4A
-:0401D40000D4000B48
-:0401D50000D5000B46
-:0401D60000D6000B44
-:0401D70000D7000B42
-:0401D80000D8000B40
-:0401D90000D9000B3E
-:0401DA0000DA000B3C
-:0401DB0000DB000B3A
-:0401DC0000DC000C37
-:0401DD0000DD000C35
-:0401DE0000DE000C33
-:0401DF0000DF000C31
-:0401E00000E0000C2F
-:0401E10000E1000C2D
-:0401E20000E2000C2B
-:0401E30000E3000C29
-:0401E40000E4000C27
-:0401E50000E5000C25
-:0401E60000E6000C23
-:0401E70000E7000C21
-:0401E80000E8000C1F
-:0401E90000E9000C1D
-:0401EA0000EA000C1B
-:0401EB0000EB000C19
-:0401EC0000EC000C17
-:0401ED0000ED000C15
-:0401EE0000EE000C13
-:0401EF0000EF000D10
-:0401F00000F0000D0E
-:0401F10000F1000D0C
-:0401F20000F2000D0A
-:0401F30000F3000D08
-:0401F40000F4000D06
-:0401F50000F5000D04
-:0401F60000F6000D02
-:0401F70000F7000D00
-:0401F80000F8000DFE
-:0401F90000F9000DFC
-:0401FA0000FA000DFA
-:0401FB0000FB000DF8
-:0401FC0000FC000DF6
-:0401FD0000FD000DF4
-:0401FE0000FE000DF2
-:0401FF0000FF000DF0
-:0402000000C0000A30
-:0402010000C1000A2E
-:0402020000C2000A2C
-:0402030000C3000A2A
-:0402040000C4000A28
-:0402050000C5000A26
-:0402060000C6000A24
-:0402070000C7000A22
-:0402080000C8000A20
-:0402090000C9000B1D
-:04020A0000CA000B1B
-:04020B0000CB000B19
-:04020C0000CC000B17
-:04020D0000CD000B15
-:04020E0000CE000B13
-:04020F0000CF000B11
-:0402100000D0000B0F
-:0402110000D1000B0D
-:0402120000D2000B0B
-:0402130000D3000B09
-:0402140000D4000B07
-:0402150000D5000B05
-:0402160000D6000B03
-:0402170000D7000B01
-:0402180000D8000BFF
-:0402190000D9000BFD
-:04021A0000DA000BFB
-:04021B0000DB000BF9
-:04021C0000DC000CF6
-:04021D0000DD000CF4
-:04021E0000DE000CF2
-:04021F0000DF000CF0
-:0402200000E0000CEE
-:0402210000E1000CEC
-:0402220000E2000CEA
-:0402230000E3000CE8
-:0402240000E4000CE6
-:0402250000E5000CE4
-:0402260000E6000CE2
-:0402270000E7000CE0
-:0402280000E8000CDE
-:0402290000E9000CDC
-:04022A0000EA000CDA
-:04022B0000EB000CD8
-:04022C0000EC000CD6
-:04022D0000ED000CD4
-:04022E0000EE000CD2
-:04022F0000EF000DCF
-:0402300000F0000DCD
-:0402310000F1000DCB
-:0402320000F2000DC9
-:0402330000F3000DC7
-:0402340000F4000DC5
-:0402350000F5000DC3
-:0402360000F6000DC1
-:0402370000F7000DBF
-:0402380000F8000DBD
-:0402390000F9000DBB
-:04023A0000FA000DB9
-:04023B0000FB000DB7
-:04023C0000FC000DB5
-:04023D0000FD000DB3
-:04023E0000FE000DB1
-:04023F0000FF000DAF
-:0402400000C0000AF0
-:0402410000C1000AEE
-:0402420000C2000AEC
-:0402430000C3000AEA
-:0402440000C4000AE8
-:0402450000C5000AE6
-:0402460000C6000AE4
-:0402470000C7000AE2
-:0402480000C8000AE0
-:0402490000C9000BDD
-:04024A0000CA000BDB
-:04024B0000CB000BD9
-:04024C0000CC000BD7
-:04024D0000CD000BD5
-:04024E0000CE000BD3
-:04024F0000CF000BD1
-:0402500000D0000BCF
-:0402510000D1000BCD
-:0402520000D2000BCB
-:0402530000D3000BC9
-:0402540000D4000BC7
-:0402550000D5000BC5
-:0402560000D6000BC3
-:0402570000D7000BC1
-:0402580000D8000BBF
-:0402590000D9000BBD
-:04025A0000DA000BBB
-:04025B0000DB000BB9
-:04025C0000DC000CB6
-:04025D0000DD000CB4
-:04025E0000DE000CB2
-:04025F0000DF000CB0
-:0402600000E0000CAE
-:0402610000E1000CAC
-:0402620000E2000CAA
-:0402630000E3000CA8
-:0402640000E4000CA6
-:0402650000E5000CA4
-:0402660000E6000CA2
-:0402670000E7000CA0
-:0402680000E8000C9E
-:0402690000E9000C9C
-:04026A0000EA000C9A
-:04026B0000EB000C98
-:04026C0000EC000C96
-:04026D0000ED000C94
-:04026E0000EE000C92
-:04026F0000EF000D8F
-:0402700000F0000D8D
-:0402710000F1000D8B
-:0402720000F2000D89
-:0402730000F3000D87
-:0402740000F4000D85
-:0402750000F5000D83
-:0402760000F6000D81
-:0402770000F7000D7F
-:0402780000F8000D7D
-:0402790000F9000D7B
-:04027A0000FA000D79
-:04027B0000FB000D77
-:04027C0000FC000D75
-:04027D0000FD000D73
-:04027E0000FE000D71
-:04027F0000FF000D6F
-:0402800000C0000AB0
-:0402810000C1000AAE
-:0402820000C2000AAC
-:0402830000C3000AAA
-:0402840000C4000AA8
-:0402850000C5000AA6
-:0402860000C6000AA4
-:0402870000C7000AA2
-:0402880000C8000AA0
-:0402890000C9000B9D
-:04028A0000CA000B9B
-:04028B0000CB000B99
-:04028C0000CC000B97
-:04028D0000CD000B95
-:04028E0000CE000B93
-:04028F0000CF000B91
-:0402900000D0000B8F
-:0402910000D1000B8D
-:0402920000D2000B8B
-:0402930000D3000B89
-:0402940000D4000B87
-:0402950000D5000B85
-:0402960000D6000B83
-:0402970000D7000B81
-:0402980000D8000B7F
-:0402990000D9000B7D
-:04029A0000DA000B7B
-:04029B0000DB000B79
-:04029C0000DC000C76
-:04029D0000DD000C74
-:04029E0000DE000C72
-:04029F0000DF000C70
-:0402A00000E0000C6E
-:0402A10000E1000C6C
-:0402A20000E2000C6A
-:0402A30000E3000C68
-:0402A40000E4000C66
-:0402A50000E5000C64
-:0402A60000E6000C62
-:0402A70000E7000C60
-:0402A80000E8000C5E
-:0402A90000E9000C5C
-:0402AA0000EA000C5A
-:0402AB0000EB000C58
-:0402AC0000EC000C56
-:0402AD0000ED000C54
-:0402AE0000EE000C52
-:0402AF0000EF000D4F
-:0402B00000F0000D4D
-:0402B10000F1000D4B
-:0402B20000F2000D49
-:0402B30000F3000D47
-:0402B40000F4000D45
-:0402B50000F5000D43
-:0402B60000F6000D41
-:0402B70000F7000D3F
-:0402B80000F8000D3D
-:0402B90000F9000D3B
-:0402BA0000FA000D39
-:0402BB0000FB000D37
-:0402BC0000FC000D35
-:0402BD0000FD000D33
-:0402BE0000FE000D31
-:0402BF0000FF000D2F
-:0402C00000C0000A70
-:0402C10000C1000A6E
-:0402C20000C2000A6C
-:0402C30000C3000A6A
-:0402C40000C4000A68
-:0402C50000C5000A66
-:0402C60000C6000A64
-:0402C70000C7000A62
-:0402C80000C8000A60
-:0402C90000C9000B5D
-:0402CA0000CA000B5B
-:0402CB0000CB000B59
-:0402CC0000CC000B57
-:0402CD0000CD000B55
-:0402CE0000CE000B53
-:0402CF0000CF000B51
-:0402D00000D0000B4F
-:0402D10000D1000B4D
-:0402D20000D2000B4B
-:0402D30000D3000B49
-:0402D40000D4000B47
-:0402D50000D5000B45
-:0402D60000D6000B43
-:0402D70000D7000B41
-:0402D80000D8000B3F
-:0402D90000D9000B3D
-:0402DA0000DA000B3B
-:0402DB0000DB000B39
-:0402DC0000DC000C36
-:0402DD0000DD000C34
-:0402DE0000DE000C32
-:0402DF0000DF000C30
-:0402E00000E0000C2E
-:0402E10000E1000C2C
-:0402E20000E2000C2A
-:0402E30000E3000C28
-:0402E40000E4000C26
-:0402E50000E5000C24
-:0402E60000E6000C22
-:0402E70000E7000C20
-:0402E80000E8000C1E
-:0402E90000E9000C1C
-:0402EA0000EA000C1A
-:0402EB0000EB000C18
-:0402EC0000EC000C16
-:0402ED0000ED000C14
-:0402EE0000EE000C12
-:0402EF0000EF000D0F
-:0402F00000F0000D0D
-:0402F10000F1000D0B
-:0402F20000F2000D09
-:0402F30000F3000D07
-:0402F40000F4000D05
-:0402F50000F5000D03
-:0402F60000F6000D01
-:0402F70000F7000DFF
-:0402F80000F8000DFD
-:0402F90000F9000DFB
-:0402FA0000FA000DF9
-:0402FB0000FB000DF7
-:0402FC0000FC000DF5
-:0402FD0000FD000DF3
-:0402FE0000FE000DF1
-:0402FF0000FF000DEF
-:0403000000C0000A2F
-:0403010000C1000A2D
-:0403020000C2000A2B
-:0403030000C3000A29
-:0403040000C4000A27
-:0403050000C5000A25
-:0403060000C6000A23
-:0403070000C7000A21
-:0403080000C8000A1F
-:0403090000C9000B1C
-:04030A0000CA000B1A
-:04030B0000CB000B18
-:04030C0000CC000B16
-:04030D0000CD000B14
-:04030E0000CE000B12
-:04030F0000CF000B10
-:0403100000D0000B0E
-:0403110000D1000B0C
-:0403120000D2000B0A
-:0403130000D3000B08
-:0403140000D4000B06
-:0403150000D5000B04
-:0403160000D6000B02
-:0403170000D7000B00
-:0403180000D8000BFE
-:0403190000D9000BFC
-:04031A0000DA000BFA
-:04031B0000DB000BF8
-:04031C0000DC000CF5
-:04031D0000DD000CF3
-:04031E0000DE000CF1
-:04031F0000DF000CEF
-:0403200000E0000CED
-:0403210000E1000CEB
-:0403220000E2000CE9
-:0403230000E3000CE7
-:0403240000E4000CE5
-:0403250000E5000CE3
-:0403260000E6000CE1
-:0403270000E7000CDF
-:0403280000E8000CDD
-:0403290000E9000CDB
-:04032A0000EA000CD9
-:04032B0000EB000CD7
-:04032C0000EC000CD5
-:04032D0000ED000CD3
-:04032E0000EE000CD1
-:04032F0000EF000DCE
-:0403300000F0000DCC
-:0403310000F1000DCA
-:0403320000F2000DC8
-:0403330000F3000DC6
-:0403340000F4000DC4
-:0403350000F5000DC2
-:0403360000F6000DC0
-:0403370000F7000DBE
-:0403380000F8000DBC
-:0403390000F9000DBA
-:04033A0000FA000DB8
-:04033B0000FB000DB6
-:04033C0000FC000DB4
-:04033D0000FD000DB2
-:04033E0000FE000DB0
-:04033F0000FF000DAE
-:0403400000C0000AEF
-:0403410000C1000AED
-:0403420000C2000AEB
-:0403430000C3000AE9
-:0403440000C4000AE7
-:0403450000C5000AE5
-:0403460000C6000AE3
-:0403470000C7000AE1
-:0403480000C8000ADF
-:0403490000C9000BDC
-:04034A0000CA000BDA
-:04034B0000CB000BD8
-:04034C0000CC000BD6
-:04034D0000CD000BD4
-:04034E0000CE000BD2
-:04034F0000CF000BD0
-:0403500000D0000BCE
-:0403510000D1000BCC
-:0403520000D2000BCA
-:0403530000D3000BC8
-:0403540000D4000BC6
-:0403550000D5000BC4
-:0403560000D6000BC2
-:0403570000D7000BC0
-:0403580000D8000BBE
-:0403590000D9000BBC
-:04035A0000DA000BBA
-:04035B0000DB000BB8
-:04035C0000DC000CB5
-:04035D0000DD000CB3
-:04035E0000DE000CB1
-:04035F0000DF000CAF
-:0403600000E0000CAD
-:0403610000E1000CAB
-:0403620000E2000CA9
-:0403630000E3000CA7
-:0403640000E4000CA5
-:0403650000E5000CA3
-:0403660000E6000CA1
-:0403670000E7000C9F
-:0403680000E8000C9D
-:0403690000E9000C9B
-:04036A0000EA000C99
-:04036B0000EB000C97
-:04036C0000EC000C95
-:04036D0000ED000C93
-:04036E0000EE000C91
-:04036F0000EF000D8E
-:0403700000F0000D8C
-:0403710000F1000D8A
-:0403720000F2000D88
-:0403730000F3000D86
-:0403740000F4000D84
-:0403750000F5000D82
-:0403760000F6000D80
-:0403770000F7000D7E
-:0403780000F8000D7C
-:0403790000F9000D7A
-:04037A0000FA000D78
-:04037B0000FB000D76
-:04037C0000FC000D74
-:04037D0000FD000D72
-:04037E0000FE000D70
-:04037F0000FF000D6E
-:0403800000C0000AAF
-:0403810000C1000AAD
-:0403820000C2000AAB
-:0403830000C3000AA9
-:0403840000C4000AA7
-:0403850000C5000AA5
-:0403860000C6000AA3
-:0403870000C7000AA1
-:0403880000C8000A9F
-:0403890000C9000B9C
-:04038A0000CA000B9A
-:04038B0000CB000B98
-:04038C0000CC000B96
-:04038D0000CD000B94
-:04038E0000CE000B92
-:04038F0000CF000B90
-:0403900000D0000B8E
-:0403910000D1000B8C
-:0403920000D2000B8A
-:0403930000D3000B88
-:0403940000D4000B86
-:0403950000D5000B84
-:0403960000D6000B82
-:0403970000D7000B80
-:0403980000D8000B7E
-:0403990000D9000B7C
-:04039A0000DA000B7A
-:04039B0000DB000B78
-:04039C0000DC000C75
-:04039D0000DD000C73
-:04039E0000DE000C71
-:04039F0000DF000C6F
-:0403A00000E0000C6D
-:0403A10000E1000C6B
-:0403A20000E2000C69
-:0403A30000E3000C67
-:0403A40000E4000C65
-:0403A50000E5000C63
-:0403A60000E6000C61
-:0403A70000E7000C5F
-:0403A80000E8000C5D
-:0403A90000E9000C5B
-:0403AA0000EA000C59
-:0403AB0000EB000C57
-:0403AC0000EC000C55
-:0403AD0000ED000C53
-:0403AE0000EE000C51
-:0403AF0000EF000D4E
-:0403B00000F0000D4C
-:0403B10000F1000D4A
-:0403B20000F2000D48
-:0403B30000F3000D46
-:0403B40000F4000D44
-:0403B50000F5000D42
-:0403B60000F6000D40
-:0403B70000F7000D3E
-:0403B80000F8000D3C
-:0403B90000F9000D3A
-:0403BA0000FA000D38
-:0403BB0000FB000D36
-:0403BC0000FC000D34
-:0403BD0000FD000D32
-:0403BE0000FE000D30
-:0403BF0000FF000D2E
-:0403C00000C0000A6F
-:0403C10000C1000A6D
-:0403C20000C2000A6B
-:0403C30000C3000A69
-:0403C40000C4000A67
-:0403C50000C5000A65
-:0403C60000C6000A63
-:0403C70000C7000A61
-:0403C80000C8000A5F
-:0403C90000C9000B5C
-:0403CA0000CA000B5A
-:0403CB0000CB000B58
-:0403CC0000CC000B56
-:0403CD0000CD000B54
-:0403CE0000CE000B52
-:0403CF0000CF000B50
-:0403D00000D0000B4E
-:0403D10000D1000B4C
-:0403D20000D2000B4A
-:0403D30000D3000B48
-:0403D40000D4000B46
-:0403D50000D5000B44
-:0403D60000D6000B42
-:0403D70000D7000B40
-:0403D80000D8000B3E
-:0403D90000D9000B3C
-:0403DA0000DA000B3A
-:0403DB0000DB000B38
-:0403DC0000DC000C35
-:0403DD0000DD000C33
-:0403DE0000DE000C31
-:0403DF0000DF000C2F
-:0403E00000E0000C2D
-:0403E10000E1000C2B
-:0403E20000E2000C29
-:0403E30000E3000C27
-:0403E40000E4000C25
-:0403E50000E5000C23
-:0403E60000E6000C21
-:0403E70000E7000C1F
-:0403E80000E8000C1D
-:0403E90000E9000C1B
-:0403EA0000EA000C19
-:0403EB0000EB000C17
-:0403EC0000EC000C15
-:0403ED0000ED000C13
-:0403EE0000EE000C11
-:0403EF0000EF000D0E
-:0403F00000F0000D0C
-:0403F10000F1000D0A
-:0403F20000F2000D08
-:0403F30000F3000D06
-:0403F40000F4000D04
-:0403F50000F5000D02
-:0403F60000F6000D00
-:0403F70000F7000DFE
-:0403F80000F8000DFC
-:0403F90000F9000DFA
-:0403FA0000FA000DF8
-:0403FB0000FB000DF6
-:0403FC0000FC000DF4
-:0403FD0000FD000DF2
-:0403FE0000FE000DF0
-:0403FF0000FF000DEE
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_20.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_20.hex
index bfd2f501676ede434f0da418c650f4dc03ca3990..9254ad1972e118807da59f942f0193565369cd0b 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_20.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_20.hex
@@ -62,964 +62,4 @@
 :04003D0000EC005B78
 :04003E0000ED005B76
 :04003F0000EE005B74
-:0400400000B30045C4
-:0400410000B40045C2
-:0400420000B50046BF
-:0400430000B60046BD
-:0400440000B70046BB
-:0400450000B80047B8
-:0400460000B90047B6
-:0400470000BA0047B4
-:0400480000BB0048B1
-:0400490000BC0048AF
-:04004A0000BD0048AD
-:04004B0000BE0049AA
-:04004C0000BE0049A9
-:04004D0000BF0049A7
-:04004E0000C0004AA4
-:04004F0000C1004AA2
-:0400500000C2004B9F
-:0400510000C3004B9D
-:0400520000C4004B9B
-:0400530000C5004C98
-:0400540000C6004C96
-:0400550000C7004C94
-:0400560000C8004D91
-:0400570000C9004D8F
-:0400580000CA004D8D
-:0400590000CB004E8A
-:04005A0000CC004E88
-:04005B0000CC004E87
-:04005C0000CD004F84
-:04005D0000CE004F82
-:04005E0000CF00507F
-:04005F0000D000507D
-:0400600000D100507B
-:0400610000D2005178
-:0400620000D3005176
-:0400630000D4005174
-:0400640000D5005271
-:0400650000D600526F
-:0400660000D700526D
-:0400670000D800536A
-:0400680000D9005368
-:0400690000DA005366
-:04006A0000DA005464
-:04006B0000DB005462
-:04006C0000DC00555F
-:04006D0000DD00555D
-:04006E0000DE00555B
-:04006F0000DF005658
-:0400700000E0005656
-:0400710000E1005654
-:0400720000E2005751
-:0400730000E300574F
-:0400740000E400574D
-:0400750000E500584A
-:0400760000E6005848
-:0400770000E7005945
-:0400780000E8005943
-:0400790000E8005942
-:04007A0000E9005A3F
-:04007B0000EA005A3D
-:04007C0000EB005A3B
-:04007D0000EC005B38
-:04007E0000ED005B36
-:04007F0000EE005B34
-:0400800000B3004584
-:0400810000B4004582
-:0400820000B500467F
-:0400830000B600467D
-:0400840000B700467B
-:0400850000B8004778
-:0400860000B9004776
-:0400870000BA004774
-:0400880000BB004871
-:0400890000BC00486F
-:04008A0000BD00486D
-:04008B0000BE00496A
-:04008C0000BE004969
-:04008D0000BF004967
-:04008E0000C0004A64
-:04008F0000C1004A62
-:0400900000C2004B5F
-:0400910000C3004B5D
-:0400920000C4004B5B
-:0400930000C5004C58
-:0400940000C6004C56
-:0400950000C7004C54
-:0400960000C8004D51
-:0400970000C9004D4F
-:0400980000CA004D4D
-:0400990000CB004E4A
-:04009A0000CC004E48
-:04009B0000CC004E47
-:04009C0000CD004F44
-:04009D0000CE004F42
-:04009E0000CF00503F
-:04009F0000D000503D
-:0400A00000D100503B
-:0400A10000D2005138
-:0400A20000D3005136
-:0400A30000D4005134
-:0400A40000D5005231
-:0400A50000D600522F
-:0400A60000D700522D
-:0400A70000D800532A
-:0400A80000D9005328
-:0400A90000DA005326
-:0400AA0000DA005424
-:0400AB0000DB005422
-:0400AC0000DC00551F
-:0400AD0000DD00551D
-:0400AE0000DE00551B
-:0400AF0000DF005618
-:0400B00000E0005616
-:0400B10000E1005614
-:0400B20000E2005711
-:0400B30000E300570F
-:0400B40000E400570D
-:0400B50000E500580A
-:0400B60000E6005808
-:0400B70000E7005905
-:0400B80000E8005903
-:0400B90000E8005902
-:0400BA0000E9005AFF
-:0400BB0000EA005AFD
-:0400BC0000EB005AFB
-:0400BD0000EC005BF8
-:0400BE0000ED005BF6
-:0400BF0000EE005BF4
-:0400C00000B3004544
-:0400C10000B4004542
-:0400C20000B500463F
-:0400C30000B600463D
-:0400C40000B700463B
-:0400C50000B8004738
-:0400C60000B9004736
-:0400C70000BA004734
-:0400C80000BB004831
-:0400C90000BC00482F
-:0400CA0000BD00482D
-:0400CB0000BE00492A
-:0400CC0000BE004929
-:0400CD0000BF004927
-:0400CE0000C0004A24
-:0400CF0000C1004A22
-:0400D00000C2004B1F
-:0400D10000C3004B1D
-:0400D20000C4004B1B
-:0400D30000C5004C18
-:0400D40000C6004C16
-:0400D50000C7004C14
-:0400D60000C8004D11
-:0400D70000C9004D0F
-:0400D80000CA004D0D
-:0400D90000CB004E0A
-:0400DA0000CC004E08
-:0400DB0000CC004E07
-:0400DC0000CD004F04
-:0400DD0000CE004F02
-:0400DE0000CF0050FF
-:0400DF0000D00050FD
-:0400E00000D10050FB
-:0400E10000D20051F8
-:0400E20000D30051F6
-:0400E30000D40051F4
-:0400E40000D50052F1
-:0400E50000D60052EF
-:0400E60000D70052ED
-:0400E70000D80053EA
-:0400E80000D90053E8
-:0400E90000DA0053E6
-:0400EA0000DA0054E4
-:0400EB0000DB0054E2
-:0400EC0000DC0055DF
-:0400ED0000DD0055DD
-:0400EE0000DE0055DB
-:0400EF0000DF0056D8
-:0400F00000E00056D6
-:0400F10000E10056D4
-:0400F20000E20057D1
-:0400F30000E30057CF
-:0400F40000E40057CD
-:0400F50000E50058CA
-:0400F60000E60058C8
-:0400F70000E70059C5
-:0400F80000E80059C3
-:0400F90000E80059C2
-:0400FA0000E9005ABF
-:0400FB0000EA005ABD
-:0400FC0000EB005ABB
-:0400FD0000EC005BB8
-:0400FE0000ED005BB6
-:0400FF0000EE005BB4
-:0401000000B3004503
-:0401010000B4004501
-:0401020000B50046FE
-:0401030000B60046FC
-:0401040000B70046FA
-:0401050000B80047F7
-:0401060000B90047F5
-:0401070000BA0047F3
-:0401080000BB0048F0
-:0401090000BC0048EE
-:04010A0000BD0048EC
-:04010B0000BE0049E9
-:04010C0000BE0049E8
-:04010D0000BF0049E6
-:04010E0000C0004AE3
-:04010F0000C1004AE1
-:0401100000C2004BDE
-:0401110000C3004BDC
-:0401120000C4004BDA
-:0401130000C5004CD7
-:0401140000C6004CD5
-:0401150000C7004CD3
-:0401160000C8004DD0
-:0401170000C9004DCE
-:0401180000CA004DCC
-:0401190000CB004EC9
-:04011A0000CC004EC7
-:04011B0000CC004EC6
-:04011C0000CD004FC3
-:04011D0000CE004FC1
-:04011E0000CF0050BE
-:04011F0000D00050BC
-:0401200000D10050BA
-:0401210000D20051B7
-:0401220000D30051B5
-:0401230000D40051B3
-:0401240000D50052B0
-:0401250000D60052AE
-:0401260000D70052AC
-:0401270000D80053A9
-:0401280000D90053A7
-:0401290000DA0053A5
-:04012A0000DA0054A3
-:04012B0000DB0054A1
-:04012C0000DC00559E
-:04012D0000DD00559C
-:04012E0000DE00559A
-:04012F0000DF005697
-:0401300000E0005695
-:0401310000E1005693
-:0401320000E2005790
-:0401330000E300578E
-:0401340000E400578C
-:0401350000E5005889
-:0401360000E6005887
-:0401370000E7005984
-:0401380000E8005982
-:0401390000E8005981
-:04013A0000E9005A7E
-:04013B0000EA005A7C
-:04013C0000EB005A7A
-:04013D0000EC005B77
-:04013E0000ED005B75
-:04013F0000EE005B73
-:0401400000B30045C3
-:0401410000B40045C1
-:0401420000B50046BE
-:0401430000B60046BC
-:0401440000B70046BA
-:0401450000B80047B7
-:0401460000B90047B5
-:0401470000BA0047B3
-:0401480000BB0048B0
-:0401490000BC0048AE
-:04014A0000BD0048AC
-:04014B0000BE0049A9
-:04014C0000BE0049A8
-:04014D0000BF0049A6
-:04014E0000C0004AA3
-:04014F0000C1004AA1
-:0401500000C2004B9E
-:0401510000C3004B9C
-:0401520000C4004B9A
-:0401530000C5004C97
-:0401540000C6004C95
-:0401550000C7004C93
-:0401560000C8004D90
-:0401570000C9004D8E
-:0401580000CA004D8C
-:0401590000CB004E89
-:04015A0000CC004E87
-:04015B0000CC004E86
-:04015C0000CD004F83
-:04015D0000CE004F81
-:04015E0000CF00507E
-:04015F0000D000507C
-:0401600000D100507A
-:0401610000D2005177
-:0401620000D3005175
-:0401630000D4005173
-:0401640000D5005270
-:0401650000D600526E
-:0401660000D700526C
-:0401670000D8005369
-:0401680000D9005367
-:0401690000DA005365
-:04016A0000DA005463
-:04016B0000DB005461
-:04016C0000DC00555E
-:04016D0000DD00555C
-:04016E0000DE00555A
-:04016F0000DF005657
-:0401700000E0005655
-:0401710000E1005653
-:0401720000E2005750
-:0401730000E300574E
-:0401740000E400574C
-:0401750000E5005849
-:0401760000E6005847
-:0401770000E7005944
-:0401780000E8005942
-:0401790000E8005941
-:04017A0000E9005A3E
-:04017B0000EA005A3C
-:04017C0000EB005A3A
-:04017D0000EC005B37
-:04017E0000ED005B35
-:04017F0000EE005B33
-:0401800000B3004583
-:0401810000B4004581
-:0401820000B500467E
-:0401830000B600467C
-:0401840000B700467A
-:0401850000B8004777
-:0401860000B9004775
-:0401870000BA004773
-:0401880000BB004870
-:0401890000BC00486E
-:04018A0000BD00486C
-:04018B0000BE004969
-:04018C0000BE004968
-:04018D0000BF004966
-:04018E0000C0004A63
-:04018F0000C1004A61
-:0401900000C2004B5E
-:0401910000C3004B5C
-:0401920000C4004B5A
-:0401930000C5004C57
-:0401940000C6004C55
-:0401950000C7004C53
-:0401960000C8004D50
-:0401970000C9004D4E
-:0401980000CA004D4C
-:0401990000CB004E49
-:04019A0000CC004E47
-:04019B0000CC004E46
-:04019C0000CD004F43
-:04019D0000CE004F41
-:04019E0000CF00503E
-:04019F0000D000503C
-:0401A00000D100503A
-:0401A10000D2005137
-:0401A20000D3005135
-:0401A30000D4005133
-:0401A40000D5005230
-:0401A50000D600522E
-:0401A60000D700522C
-:0401A70000D8005329
-:0401A80000D9005327
-:0401A90000DA005325
-:0401AA0000DA005423
-:0401AB0000DB005421
-:0401AC0000DC00551E
-:0401AD0000DD00551C
-:0401AE0000DE00551A
-:0401AF0000DF005617
-:0401B00000E0005615
-:0401B10000E1005613
-:0401B20000E2005710
-:0401B30000E300570E
-:0401B40000E400570C
-:0401B50000E5005809
-:0401B60000E6005807
-:0401B70000E7005904
-:0401B80000E8005902
-:0401B90000E8005901
-:0401BA0000E9005AFE
-:0401BB0000EA005AFC
-:0401BC0000EB005AFA
-:0401BD0000EC005BF7
-:0401BE0000ED005BF5
-:0401BF0000EE005BF3
-:0401C00000B3004543
-:0401C10000B4004541
-:0401C20000B500463E
-:0401C30000B600463C
-:0401C40000B700463A
-:0401C50000B8004737
-:0401C60000B9004735
-:0401C70000BA004733
-:0401C80000BB004830
-:0401C90000BC00482E
-:0401CA0000BD00482C
-:0401CB0000BE004929
-:0401CC0000BE004928
-:0401CD0000BF004926
-:0401CE0000C0004A23
-:0401CF0000C1004A21
-:0401D00000C2004B1E
-:0401D10000C3004B1C
-:0401D20000C4004B1A
-:0401D30000C5004C17
-:0401D40000C6004C15
-:0401D50000C7004C13
-:0401D60000C8004D10
-:0401D70000C9004D0E
-:0401D80000CA004D0C
-:0401D90000CB004E09
-:0401DA0000CC004E07
-:0401DB0000CC004E06
-:0401DC0000CD004F03
-:0401DD0000CE004F01
-:0401DE0000CF0050FE
-:0401DF0000D00050FC
-:0401E00000D10050FA
-:0401E10000D20051F7
-:0401E20000D30051F5
-:0401E30000D40051F3
-:0401E40000D50052F0
-:0401E50000D60052EE
-:0401E60000D70052EC
-:0401E70000D80053E9
-:0401E80000D90053E7
-:0401E90000DA0053E5
-:0401EA0000DA0054E3
-:0401EB0000DB0054E1
-:0401EC0000DC0055DE
-:0401ED0000DD0055DC
-:0401EE0000DE0055DA
-:0401EF0000DF0056D7
-:0401F00000E00056D5
-:0401F10000E10056D3
-:0401F20000E20057D0
-:0401F30000E30057CE
-:0401F40000E40057CC
-:0401F50000E50058C9
-:0401F60000E60058C7
-:0401F70000E70059C4
-:0401F80000E80059C2
-:0401F90000E80059C1
-:0401FA0000E9005ABE
-:0401FB0000EA005ABC
-:0401FC0000EB005ABA
-:0401FD0000EC005BB7
-:0401FE0000ED005BB5
-:0401FF0000EE005BB3
-:0402000000B3004502
-:0402010000B4004500
-:0402020000B50046FD
-:0402030000B60046FB
-:0402040000B70046F9
-:0402050000B80047F6
-:0402060000B90047F4
-:0402070000BA0047F2
-:0402080000BB0048EF
-:0402090000BC0048ED
-:04020A0000BD0048EB
-:04020B0000BE0049E8
-:04020C0000BE0049E7
-:04020D0000BF0049E5
-:04020E0000C0004AE2
-:04020F0000C1004AE0
-:0402100000C2004BDD
-:0402110000C3004BDB
-:0402120000C4004BD9
-:0402130000C5004CD6
-:0402140000C6004CD4
-:0402150000C7004CD2
-:0402160000C8004DCF
-:0402170000C9004DCD
-:0402180000CA004DCB
-:0402190000CB004EC8
-:04021A0000CC004EC6
-:04021B0000CC004EC5
-:04021C0000CD004FC2
-:04021D0000CE004FC0
-:04021E0000CF0050BD
-:04021F0000D00050BB
-:0402200000D10050B9
-:0402210000D20051B6
-:0402220000D30051B4
-:0402230000D40051B2
-:0402240000D50052AF
-:0402250000D60052AD
-:0402260000D70052AB
-:0402270000D80053A8
-:0402280000D90053A6
-:0402290000DA0053A4
-:04022A0000DA0054A2
-:04022B0000DB0054A0
-:04022C0000DC00559D
-:04022D0000DD00559B
-:04022E0000DE005599
-:04022F0000DF005696
-:0402300000E0005694
-:0402310000E1005692
-:0402320000E200578F
-:0402330000E300578D
-:0402340000E400578B
-:0402350000E5005888
-:0402360000E6005886
-:0402370000E7005983
-:0402380000E8005981
-:0402390000E8005980
-:04023A0000E9005A7D
-:04023B0000EA005A7B
-:04023C0000EB005A79
-:04023D0000EC005B76
-:04023E0000ED005B74
-:04023F0000EE005B72
-:0402400000B30045C2
-:0402410000B40045C0
-:0402420000B50046BD
-:0402430000B60046BB
-:0402440000B70046B9
-:0402450000B80047B6
-:0402460000B90047B4
-:0402470000BA0047B2
-:0402480000BB0048AF
-:0402490000BC0048AD
-:04024A0000BD0048AB
-:04024B0000BE0049A8
-:04024C0000BE0049A7
-:04024D0000BF0049A5
-:04024E0000C0004AA2
-:04024F0000C1004AA0
-:0402500000C2004B9D
-:0402510000C3004B9B
-:0402520000C4004B99
-:0402530000C5004C96
-:0402540000C6004C94
-:0402550000C7004C92
-:0402560000C8004D8F
-:0402570000C9004D8D
-:0402580000CA004D8B
-:0402590000CB004E88
-:04025A0000CC004E86
-:04025B0000CC004E85
-:04025C0000CD004F82
-:04025D0000CE004F80
-:04025E0000CF00507D
-:04025F0000D000507B
-:0402600000D1005079
-:0402610000D2005176
-:0402620000D3005174
-:0402630000D4005172
-:0402640000D500526F
-:0402650000D600526D
-:0402660000D700526B
-:0402670000D8005368
-:0402680000D9005366
-:0402690000DA005364
-:04026A0000DA005462
-:04026B0000DB005460
-:04026C0000DC00555D
-:04026D0000DD00555B
-:04026E0000DE005559
-:04026F0000DF005656
-:0402700000E0005654
-:0402710000E1005652
-:0402720000E200574F
-:0402730000E300574D
-:0402740000E400574B
-:0402750000E5005848
-:0402760000E6005846
-:0402770000E7005943
-:0402780000E8005941
-:0402790000E8005940
-:04027A0000E9005A3D
-:04027B0000EA005A3B
-:04027C0000EB005A39
-:04027D0000EC005B36
-:04027E0000ED005B34
-:04027F0000EE005B32
-:0402800000B3004582
-:0402810000B4004580
-:0402820000B500467D
-:0402830000B600467B
-:0402840000B7004679
-:0402850000B8004776
-:0402860000B9004774
-:0402870000BA004772
-:0402880000BB00486F
-:0402890000BC00486D
-:04028A0000BD00486B
-:04028B0000BE004968
-:04028C0000BE004967
-:04028D0000BF004965
-:04028E0000C0004A62
-:04028F0000C1004A60
-:0402900000C2004B5D
-:0402910000C3004B5B
-:0402920000C4004B59
-:0402930000C5004C56
-:0402940000C6004C54
-:0402950000C7004C52
-:0402960000C8004D4F
-:0402970000C9004D4D
-:0402980000CA004D4B
-:0402990000CB004E48
-:04029A0000CC004E46
-:04029B0000CC004E45
-:04029C0000CD004F42
-:04029D0000CE004F40
-:04029E0000CF00503D
-:04029F0000D000503B
-:0402A00000D1005039
-:0402A10000D2005136
-:0402A20000D3005134
-:0402A30000D4005132
-:0402A40000D500522F
-:0402A50000D600522D
-:0402A60000D700522B
-:0402A70000D8005328
-:0402A80000D9005326
-:0402A90000DA005324
-:0402AA0000DA005422
-:0402AB0000DB005420
-:0402AC0000DC00551D
-:0402AD0000DD00551B
-:0402AE0000DE005519
-:0402AF0000DF005616
-:0402B00000E0005614
-:0402B10000E1005612
-:0402B20000E200570F
-:0402B30000E300570D
-:0402B40000E400570B
-:0402B50000E5005808
-:0402B60000E6005806
-:0402B70000E7005903
-:0402B80000E8005901
-:0402B90000E8005900
-:0402BA0000E9005AFD
-:0402BB0000EA005AFB
-:0402BC0000EB005AF9
-:0402BD0000EC005BF6
-:0402BE0000ED005BF4
-:0402BF0000EE005BF2
-:0402C00000B3004542
-:0402C10000B4004540
-:0402C20000B500463D
-:0402C30000B600463B
-:0402C40000B7004639
-:0402C50000B8004736
-:0402C60000B9004734
-:0402C70000BA004732
-:0402C80000BB00482F
-:0402C90000BC00482D
-:0402CA0000BD00482B
-:0402CB0000BE004928
-:0402CC0000BE004927
-:0402CD0000BF004925
-:0402CE0000C0004A22
-:0402CF0000C1004A20
-:0402D00000C2004B1D
-:0402D10000C3004B1B
-:0402D20000C4004B19
-:0402D30000C5004C16
-:0402D40000C6004C14
-:0402D50000C7004C12
-:0402D60000C8004D0F
-:0402D70000C9004D0D
-:0402D80000CA004D0B
-:0402D90000CB004E08
-:0402DA0000CC004E06
-:0402DB0000CC004E05
-:0402DC0000CD004F02
-:0402DD0000CE004F00
-:0402DE0000CF0050FD
-:0402DF0000D00050FB
-:0402E00000D10050F9
-:0402E10000D20051F6
-:0402E20000D30051F4
-:0402E30000D40051F2
-:0402E40000D50052EF
-:0402E50000D60052ED
-:0402E60000D70052EB
-:0402E70000D80053E8
-:0402E80000D90053E6
-:0402E90000DA0053E4
-:0402EA0000DA0054E2
-:0402EB0000DB0054E0
-:0402EC0000DC0055DD
-:0402ED0000DD0055DB
-:0402EE0000DE0055D9
-:0402EF0000DF0056D6
-:0402F00000E00056D4
-:0402F10000E10056D2
-:0402F20000E20057CF
-:0402F30000E30057CD
-:0402F40000E40057CB
-:0402F50000E50058C8
-:0402F60000E60058C6
-:0402F70000E70059C3
-:0402F80000E80059C1
-:0402F90000E80059C0
-:0402FA0000E9005ABD
-:0402FB0000EA005ABB
-:0402FC0000EB005AB9
-:0402FD0000EC005BB6
-:0402FE0000ED005BB4
-:0402FF0000EE005BB2
-:0403000000B3004501
-:0403010000B40045FF
-:0403020000B50046FC
-:0403030000B60046FA
-:0403040000B70046F8
-:0403050000B80047F5
-:0403060000B90047F3
-:0403070000BA0047F1
-:0403080000BB0048EE
-:0403090000BC0048EC
-:04030A0000BD0048EA
-:04030B0000BE0049E7
-:04030C0000BE0049E6
-:04030D0000BF0049E4
-:04030E0000C0004AE1
-:04030F0000C1004ADF
-:0403100000C2004BDC
-:0403110000C3004BDA
-:0403120000C4004BD8
-:0403130000C5004CD5
-:0403140000C6004CD3
-:0403150000C7004CD1
-:0403160000C8004DCE
-:0403170000C9004DCC
-:0403180000CA004DCA
-:0403190000CB004EC7
-:04031A0000CC004EC5
-:04031B0000CC004EC4
-:04031C0000CD004FC1
-:04031D0000CE004FBF
-:04031E0000CF0050BC
-:04031F0000D00050BA
-:0403200000D10050B8
-:0403210000D20051B5
-:0403220000D30051B3
-:0403230000D40051B1
-:0403240000D50052AE
-:0403250000D60052AC
-:0403260000D70052AA
-:0403270000D80053A7
-:0403280000D90053A5
-:0403290000DA0053A3
-:04032A0000DA0054A1
-:04032B0000DB00549F
-:04032C0000DC00559C
-:04032D0000DD00559A
-:04032E0000DE005598
-:04032F0000DF005695
-:0403300000E0005693
-:0403310000E1005691
-:0403320000E200578E
-:0403330000E300578C
-:0403340000E400578A
-:0403350000E5005887
-:0403360000E6005885
-:0403370000E7005982
-:0403380000E8005980
-:0403390000E800597F
-:04033A0000E9005A7C
-:04033B0000EA005A7A
-:04033C0000EB005A78
-:04033D0000EC005B75
-:04033E0000ED005B73
-:04033F0000EE005B71
-:0403400000B30045C1
-:0403410000B40045BF
-:0403420000B50046BC
-:0403430000B60046BA
-:0403440000B70046B8
-:0403450000B80047B5
-:0403460000B90047B3
-:0403470000BA0047B1
-:0403480000BB0048AE
-:0403490000BC0048AC
-:04034A0000BD0048AA
-:04034B0000BE0049A7
-:04034C0000BE0049A6
-:04034D0000BF0049A4
-:04034E0000C0004AA1
-:04034F0000C1004A9F
-:0403500000C2004B9C
-:0403510000C3004B9A
-:0403520000C4004B98
-:0403530000C5004C95
-:0403540000C6004C93
-:0403550000C7004C91
-:0403560000C8004D8E
-:0403570000C9004D8C
-:0403580000CA004D8A
-:0403590000CB004E87
-:04035A0000CC004E85
-:04035B0000CC004E84
-:04035C0000CD004F81
-:04035D0000CE004F7F
-:04035E0000CF00507C
-:04035F0000D000507A
-:0403600000D1005078
-:0403610000D2005175
-:0403620000D3005173
-:0403630000D4005171
-:0403640000D500526E
-:0403650000D600526C
-:0403660000D700526A
-:0403670000D8005367
-:0403680000D9005365
-:0403690000DA005363
-:04036A0000DA005461
-:04036B0000DB00545F
-:04036C0000DC00555C
-:04036D0000DD00555A
-:04036E0000DE005558
-:04036F0000DF005655
-:0403700000E0005653
-:0403710000E1005651
-:0403720000E200574E
-:0403730000E300574C
-:0403740000E400574A
-:0403750000E5005847
-:0403760000E6005845
-:0403770000E7005942
-:0403780000E8005940
-:0403790000E800593F
-:04037A0000E9005A3C
-:04037B0000EA005A3A
-:04037C0000EB005A38
-:04037D0000EC005B35
-:04037E0000ED005B33
-:04037F0000EE005B31
-:0403800000B3004581
-:0403810000B400457F
-:0403820000B500467C
-:0403830000B600467A
-:0403840000B7004678
-:0403850000B8004775
-:0403860000B9004773
-:0403870000BA004771
-:0403880000BB00486E
-:0403890000BC00486C
-:04038A0000BD00486A
-:04038B0000BE004967
-:04038C0000BE004966
-:04038D0000BF004964
-:04038E0000C0004A61
-:04038F0000C1004A5F
-:0403900000C2004B5C
-:0403910000C3004B5A
-:0403920000C4004B58
-:0403930000C5004C55
-:0403940000C6004C53
-:0403950000C7004C51
-:0403960000C8004D4E
-:0403970000C9004D4C
-:0403980000CA004D4A
-:0403990000CB004E47
-:04039A0000CC004E45
-:04039B0000CC004E44
-:04039C0000CD004F41
-:04039D0000CE004F3F
-:04039E0000CF00503C
-:04039F0000D000503A
-:0403A00000D1005038
-:0403A10000D2005135
-:0403A20000D3005133
-:0403A30000D4005131
-:0403A40000D500522E
-:0403A50000D600522C
-:0403A60000D700522A
-:0403A70000D8005327
-:0403A80000D9005325
-:0403A90000DA005323
-:0403AA0000DA005421
-:0403AB0000DB00541F
-:0403AC0000DC00551C
-:0403AD0000DD00551A
-:0403AE0000DE005518
-:0403AF0000DF005615
-:0403B00000E0005613
-:0403B10000E1005611
-:0403B20000E200570E
-:0403B30000E300570C
-:0403B40000E400570A
-:0403B50000E5005807
-:0403B60000E6005805
-:0403B70000E7005902
-:0403B80000E8005900
-:0403B90000E80059FF
-:0403BA0000E9005AFC
-:0403BB0000EA005AFA
-:0403BC0000EB005AF8
-:0403BD0000EC005BF5
-:0403BE0000ED005BF3
-:0403BF0000EE005BF1
-:0403C00000B3004541
-:0403C10000B400453F
-:0403C20000B500463C
-:0403C30000B600463A
-:0403C40000B7004638
-:0403C50000B8004735
-:0403C60000B9004733
-:0403C70000BA004731
-:0403C80000BB00482E
-:0403C90000BC00482C
-:0403CA0000BD00482A
-:0403CB0000BE004927
-:0403CC0000BE004926
-:0403CD0000BF004924
-:0403CE0000C0004A21
-:0403CF0000C1004A1F
-:0403D00000C2004B1C
-:0403D10000C3004B1A
-:0403D20000C4004B18
-:0403D30000C5004C15
-:0403D40000C6004C13
-:0403D50000C7004C11
-:0403D60000C8004D0E
-:0403D70000C9004D0C
-:0403D80000CA004D0A
-:0403D90000CB004E07
-:0403DA0000CC004E05
-:0403DB0000CC004E04
-:0403DC0000CD004F01
-:0403DD0000CE004FFF
-:0403DE0000CF0050FC
-:0403DF0000D00050FA
-:0403E00000D10050F8
-:0403E10000D20051F5
-:0403E20000D30051F3
-:0403E30000D40051F1
-:0403E40000D50052EE
-:0403E50000D60052EC
-:0403E60000D70052EA
-:0403E70000D80053E7
-:0403E80000D90053E5
-:0403E90000DA0053E3
-:0403EA0000DA0054E1
-:0403EB0000DB0054DF
-:0403EC0000DC0055DC
-:0403ED0000DD0055DA
-:0403EE0000DE0055D8
-:0403EF0000DF0056D5
-:0403F00000E00056D3
-:0403F10000E10056D1
-:0403F20000E20057CE
-:0403F30000E30057CC
-:0403F40000E40057CA
-:0403F50000E50058C7
-:0403F60000E60058C5
-:0403F70000E70059C2
-:0403F80000E80059C0
-:0403F90000E80059BF
-:0403FA0000E9005ABC
-:0403FB0000EA005ABA
-:0403FC0000EB005AB8
-:0403FD0000EC005BB5
-:0403FE0000ED005BB3
-:0403FF0000EE005BB1
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_21.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_21.hex
index 25ae93dc67cda1dae0395296b51dcad0d3dbbfdc..06d6a104ba610500d334f7db703e5c476f3a67b9 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_21.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_21.hex
@@ -62,964 +62,4 @@
 :04003D0000EB005F75
 :04003E0000EC005F73
 :04003F0000EC006071
-:0400400000B20048C2
-:0400410000B30048C0
-:0400420000B40049BD
-:0400430000B50049BB
-:0400440000B60049B9
-:0400450000B7004AB6
-:0400460000B8004AB4
-:0400470000B9004BB1
-:0400480000B9004BB0
-:0400490000BA004BAE
-:04004A0000BB004CAB
-:04004B0000BC004CA9
-:04004C0000BD004CA7
-:04004D0000BE004DA4
-:04004E0000BF004DA2
-:04004F0000C0004E9F
-:0400500000C1004E9D
-:0400510000C2004E9B
-:0400520000C3004F98
-:0400530000C4004F96
-:0400540000C5004F94
-:0400550000C5005092
-:0400560000C6005090
-:0400570000C700518D
-:0400580000C800518B
-:0400590000C9005189
-:04005A0000CA005286
-:04005B0000CB005284
-:04005C0000CC005282
-:04005D0000CD00537F
-:04005E0000CE00537D
-:04005F0000CF00547A
-:0400600000D0005478
-:0400610000D1005476
-:0400620000D2005573
-:0400630000D2005572
-:0400640000D3005570
-:0400650000D400566D
-:0400660000D500566B
-:0400670000D6005768
-:0400680000D7005766
-:0400690000D8005764
-:04006A0000D9005861
-:04006B0000DA00585F
-:04006C0000DB00585D
-:04006D0000DC00595A
-:04006E0000DD005958
-:04006F0000DE005A55
-:0400700000DF005A53
-:0400710000DF005A52
-:0400720000E0005B4F
-:0400730000E1005B4D
-:0400740000E2005B4B
-:0400750000E3005C48
-:0400760000E4005C46
-:0400770000E5005D43
-:0400780000E6005D41
-:0400790000E7005D3F
-:04007A0000E8005E3C
-:04007B0000E9005E3A
-:04007C0000EA005E38
-:04007D0000EB005F35
-:04007E0000EC005F33
-:04007F0000EC006031
-:0400800000B2004882
-:0400810000B3004880
-:0400820000B400497D
-:0400830000B500497B
-:0400840000B6004979
-:0400850000B7004A76
-:0400860000B8004A74
-:0400870000B9004B71
-:0400880000B9004B70
-:0400890000BA004B6E
-:04008A0000BB004C6B
-:04008B0000BC004C69
-:04008C0000BD004C67
-:04008D0000BE004D64
-:04008E0000BF004D62
-:04008F0000C0004E5F
-:0400900000C1004E5D
-:0400910000C2004E5B
-:0400920000C3004F58
-:0400930000C4004F56
-:0400940000C5004F54
-:0400950000C5005052
-:0400960000C6005050
-:0400970000C700514D
-:0400980000C800514B
-:0400990000C9005149
-:04009A0000CA005246
-:04009B0000CB005244
-:04009C0000CC005242
-:04009D0000CD00533F
-:04009E0000CE00533D
-:04009F0000CF00543A
-:0400A00000D0005438
-:0400A10000D1005436
-:0400A20000D2005533
-:0400A30000D2005532
-:0400A40000D3005530
-:0400A50000D400562D
-:0400A60000D500562B
-:0400A70000D6005728
-:0400A80000D7005726
-:0400A90000D8005724
-:0400AA0000D9005821
-:0400AB0000DA00581F
-:0400AC0000DB00581D
-:0400AD0000DC00591A
-:0400AE0000DD005918
-:0400AF0000DE005A15
-:0400B00000DF005A13
-:0400B10000DF005A12
-:0400B20000E0005B0F
-:0400B30000E1005B0D
-:0400B40000E2005B0B
-:0400B50000E3005C08
-:0400B60000E4005C06
-:0400B70000E5005D03
-:0400B80000E6005D01
-:0400B90000E7005DFF
-:0400BA0000E8005EFC
-:0400BB0000E9005EFA
-:0400BC0000EA005EF8
-:0400BD0000EB005FF5
-:0400BE0000EC005FF3
-:0400BF0000EC0060F1
-:0400C00000B2004842
-:0400C10000B3004840
-:0400C20000B400493D
-:0400C30000B500493B
-:0400C40000B6004939
-:0400C50000B7004A36
-:0400C60000B8004A34
-:0400C70000B9004B31
-:0400C80000B9004B30
-:0400C90000BA004B2E
-:0400CA0000BB004C2B
-:0400CB0000BC004C29
-:0400CC0000BD004C27
-:0400CD0000BE004D24
-:0400CE0000BF004D22
-:0400CF0000C0004E1F
-:0400D00000C1004E1D
-:0400D10000C2004E1B
-:0400D20000C3004F18
-:0400D30000C4004F16
-:0400D40000C5004F14
-:0400D50000C5005012
-:0400D60000C6005010
-:0400D70000C700510D
-:0400D80000C800510B
-:0400D90000C9005109
-:0400DA0000CA005206
-:0400DB0000CB005204
-:0400DC0000CC005202
-:0400DD0000CD0053FF
-:0400DE0000CE0053FD
-:0400DF0000CF0054FA
-:0400E00000D00054F8
-:0400E10000D10054F6
-:0400E20000D20055F3
-:0400E30000D20055F2
-:0400E40000D30055F0
-:0400E50000D40056ED
-:0400E60000D50056EB
-:0400E70000D60057E8
-:0400E80000D70057E6
-:0400E90000D80057E4
-:0400EA0000D90058E1
-:0400EB0000DA0058DF
-:0400EC0000DB0058DD
-:0400ED0000DC0059DA
-:0400EE0000DD0059D8
-:0400EF0000DE005AD5
-:0400F00000DF005AD3
-:0400F10000DF005AD2
-:0400F20000E0005BCF
-:0400F30000E1005BCD
-:0400F40000E2005BCB
-:0400F50000E3005CC8
-:0400F60000E4005CC6
-:0400F70000E5005DC3
-:0400F80000E6005DC1
-:0400F90000E7005DBF
-:0400FA0000E8005EBC
-:0400FB0000E9005EBA
-:0400FC0000EA005EB8
-:0400FD0000EB005FB5
-:0400FE0000EC005FB3
-:0400FF0000EC0060B1
-:0401000000B2004801
-:0401010000B30048FF
-:0401020000B40049FC
-:0401030000B50049FA
-:0401040000B60049F8
-:0401050000B7004AF5
-:0401060000B8004AF3
-:0401070000B9004BF0
-:0401080000B9004BEF
-:0401090000BA004BED
-:04010A0000BB004CEA
-:04010B0000BC004CE8
-:04010C0000BD004CE6
-:04010D0000BE004DE3
-:04010E0000BF004DE1
-:04010F0000C0004EDE
-:0401100000C1004EDC
-:0401110000C2004EDA
-:0401120000C3004FD7
-:0401130000C4004FD5
-:0401140000C5004FD3
-:0401150000C50050D1
-:0401160000C60050CF
-:0401170000C70051CC
-:0401180000C80051CA
-:0401190000C90051C8
-:04011A0000CA0052C5
-:04011B0000CB0052C3
-:04011C0000CC0052C1
-:04011D0000CD0053BE
-:04011E0000CE0053BC
-:04011F0000CF0054B9
-:0401200000D00054B7
-:0401210000D10054B5
-:0401220000D20055B2
-:0401230000D20055B1
-:0401240000D30055AF
-:0401250000D40056AC
-:0401260000D50056AA
-:0401270000D60057A7
-:0401280000D70057A5
-:0401290000D80057A3
-:04012A0000D90058A0
-:04012B0000DA00589E
-:04012C0000DB00589C
-:04012D0000DC005999
-:04012E0000DD005997
-:04012F0000DE005A94
-:0401300000DF005A92
-:0401310000DF005A91
-:0401320000E0005B8E
-:0401330000E1005B8C
-:0401340000E2005B8A
-:0401350000E3005C87
-:0401360000E4005C85
-:0401370000E5005D82
-:0401380000E6005D80
-:0401390000E7005D7E
-:04013A0000E8005E7B
-:04013B0000E9005E79
-:04013C0000EA005E77
-:04013D0000EB005F74
-:04013E0000EC005F72
-:04013F0000EC006070
-:0401400000B20048C1
-:0401410000B30048BF
-:0401420000B40049BC
-:0401430000B50049BA
-:0401440000B60049B8
-:0401450000B7004AB5
-:0401460000B8004AB3
-:0401470000B9004BB0
-:0401480000B9004BAF
-:0401490000BA004BAD
-:04014A0000BB004CAA
-:04014B0000BC004CA8
-:04014C0000BD004CA6
-:04014D0000BE004DA3
-:04014E0000BF004DA1
-:04014F0000C0004E9E
-:0401500000C1004E9C
-:0401510000C2004E9A
-:0401520000C3004F97
-:0401530000C4004F95
-:0401540000C5004F93
-:0401550000C5005091
-:0401560000C600508F
-:0401570000C700518C
-:0401580000C800518A
-:0401590000C9005188
-:04015A0000CA005285
-:04015B0000CB005283
-:04015C0000CC005281
-:04015D0000CD00537E
-:04015E0000CE00537C
-:04015F0000CF005479
-:0401600000D0005477
-:0401610000D1005475
-:0401620000D2005572
-:0401630000D2005571
-:0401640000D300556F
-:0401650000D400566C
-:0401660000D500566A
-:0401670000D6005767
-:0401680000D7005765
-:0401690000D8005763
-:04016A0000D9005860
-:04016B0000DA00585E
-:04016C0000DB00585C
-:04016D0000DC005959
-:04016E0000DD005957
-:04016F0000DE005A54
-:0401700000DF005A52
-:0401710000DF005A51
-:0401720000E0005B4E
-:0401730000E1005B4C
-:0401740000E2005B4A
-:0401750000E3005C47
-:0401760000E4005C45
-:0401770000E5005D42
-:0401780000E6005D40
-:0401790000E7005D3E
-:04017A0000E8005E3B
-:04017B0000E9005E39
-:04017C0000EA005E37
-:04017D0000EB005F34
-:04017E0000EC005F32
-:04017F0000EC006030
-:0401800000B2004881
-:0401810000B300487F
-:0401820000B400497C
-:0401830000B500497A
-:0401840000B6004978
-:0401850000B7004A75
-:0401860000B8004A73
-:0401870000B9004B70
-:0401880000B9004B6F
-:0401890000BA004B6D
-:04018A0000BB004C6A
-:04018B0000BC004C68
-:04018C0000BD004C66
-:04018D0000BE004D63
-:04018E0000BF004D61
-:04018F0000C0004E5E
-:0401900000C1004E5C
-:0401910000C2004E5A
-:0401920000C3004F57
-:0401930000C4004F55
-:0401940000C5004F53
-:0401950000C5005051
-:0401960000C600504F
-:0401970000C700514C
-:0401980000C800514A
-:0401990000C9005148
-:04019A0000CA005245
-:04019B0000CB005243
-:04019C0000CC005241
-:04019D0000CD00533E
-:04019E0000CE00533C
-:04019F0000CF005439
-:0401A00000D0005437
-:0401A10000D1005435
-:0401A20000D2005532
-:0401A30000D2005531
-:0401A40000D300552F
-:0401A50000D400562C
-:0401A60000D500562A
-:0401A70000D6005727
-:0401A80000D7005725
-:0401A90000D8005723
-:0401AA0000D9005820
-:0401AB0000DA00581E
-:0401AC0000DB00581C
-:0401AD0000DC005919
-:0401AE0000DD005917
-:0401AF0000DE005A14
-:0401B00000DF005A12
-:0401B10000DF005A11
-:0401B20000E0005B0E
-:0401B30000E1005B0C
-:0401B40000E2005B0A
-:0401B50000E3005C07
-:0401B60000E4005C05
-:0401B70000E5005D02
-:0401B80000E6005D00
-:0401B90000E7005DFE
-:0401BA0000E8005EFB
-:0401BB0000E9005EF9
-:0401BC0000EA005EF7
-:0401BD0000EB005FF4
-:0401BE0000EC005FF2
-:0401BF0000EC0060F0
-:0401C00000B2004841
-:0401C10000B300483F
-:0401C20000B400493C
-:0401C30000B500493A
-:0401C40000B6004938
-:0401C50000B7004A35
-:0401C60000B8004A33
-:0401C70000B9004B30
-:0401C80000B9004B2F
-:0401C90000BA004B2D
-:0401CA0000BB004C2A
-:0401CB0000BC004C28
-:0401CC0000BD004C26
-:0401CD0000BE004D23
-:0401CE0000BF004D21
-:0401CF0000C0004E1E
-:0401D00000C1004E1C
-:0401D10000C2004E1A
-:0401D20000C3004F17
-:0401D30000C4004F15
-:0401D40000C5004F13
-:0401D50000C5005011
-:0401D60000C600500F
-:0401D70000C700510C
-:0401D80000C800510A
-:0401D90000C9005108
-:0401DA0000CA005205
-:0401DB0000CB005203
-:0401DC0000CC005201
-:0401DD0000CD0053FE
-:0401DE0000CE0053FC
-:0401DF0000CF0054F9
-:0401E00000D00054F7
-:0401E10000D10054F5
-:0401E20000D20055F2
-:0401E30000D20055F1
-:0401E40000D30055EF
-:0401E50000D40056EC
-:0401E60000D50056EA
-:0401E70000D60057E7
-:0401E80000D70057E5
-:0401E90000D80057E3
-:0401EA0000D90058E0
-:0401EB0000DA0058DE
-:0401EC0000DB0058DC
-:0401ED0000DC0059D9
-:0401EE0000DD0059D7
-:0401EF0000DE005AD4
-:0401F00000DF005AD2
-:0401F10000DF005AD1
-:0401F20000E0005BCE
-:0401F30000E1005BCC
-:0401F40000E2005BCA
-:0401F50000E3005CC7
-:0401F60000E4005CC5
-:0401F70000E5005DC2
-:0401F80000E6005DC0
-:0401F90000E7005DBE
-:0401FA0000E8005EBB
-:0401FB0000E9005EB9
-:0401FC0000EA005EB7
-:0401FD0000EB005FB4
-:0401FE0000EC005FB2
-:0401FF0000EC0060B0
-:0402000000B2004800
-:0402010000B30048FE
-:0402020000B40049FB
-:0402030000B50049F9
-:0402040000B60049F7
-:0402050000B7004AF4
-:0402060000B8004AF2
-:0402070000B9004BEF
-:0402080000B9004BEE
-:0402090000BA004BEC
-:04020A0000BB004CE9
-:04020B0000BC004CE7
-:04020C0000BD004CE5
-:04020D0000BE004DE2
-:04020E0000BF004DE0
-:04020F0000C0004EDD
-:0402100000C1004EDB
-:0402110000C2004ED9
-:0402120000C3004FD6
-:0402130000C4004FD4
-:0402140000C5004FD2
-:0402150000C50050D0
-:0402160000C60050CE
-:0402170000C70051CB
-:0402180000C80051C9
-:0402190000C90051C7
-:04021A0000CA0052C4
-:04021B0000CB0052C2
-:04021C0000CC0052C0
-:04021D0000CD0053BD
-:04021E0000CE0053BB
-:04021F0000CF0054B8
-:0402200000D00054B6
-:0402210000D10054B4
-:0402220000D20055B1
-:0402230000D20055B0
-:0402240000D30055AE
-:0402250000D40056AB
-:0402260000D50056A9
-:0402270000D60057A6
-:0402280000D70057A4
-:0402290000D80057A2
-:04022A0000D900589F
-:04022B0000DA00589D
-:04022C0000DB00589B
-:04022D0000DC005998
-:04022E0000DD005996
-:04022F0000DE005A93
-:0402300000DF005A91
-:0402310000DF005A90
-:0402320000E0005B8D
-:0402330000E1005B8B
-:0402340000E2005B89
-:0402350000E3005C86
-:0402360000E4005C84
-:0402370000E5005D81
-:0402380000E6005D7F
-:0402390000E7005D7D
-:04023A0000E8005E7A
-:04023B0000E9005E78
-:04023C0000EA005E76
-:04023D0000EB005F73
-:04023E0000EC005F71
-:04023F0000EC00606F
-:0402400000B20048C0
-:0402410000B30048BE
-:0402420000B40049BB
-:0402430000B50049B9
-:0402440000B60049B7
-:0402450000B7004AB4
-:0402460000B8004AB2
-:0402470000B9004BAF
-:0402480000B9004BAE
-:0402490000BA004BAC
-:04024A0000BB004CA9
-:04024B0000BC004CA7
-:04024C0000BD004CA5
-:04024D0000BE004DA2
-:04024E0000BF004DA0
-:04024F0000C0004E9D
-:0402500000C1004E9B
-:0402510000C2004E99
-:0402520000C3004F96
-:0402530000C4004F94
-:0402540000C5004F92
-:0402550000C5005090
-:0402560000C600508E
-:0402570000C700518B
-:0402580000C8005189
-:0402590000C9005187
-:04025A0000CA005284
-:04025B0000CB005282
-:04025C0000CC005280
-:04025D0000CD00537D
-:04025E0000CE00537B
-:04025F0000CF005478
-:0402600000D0005476
-:0402610000D1005474
-:0402620000D2005571
-:0402630000D2005570
-:0402640000D300556E
-:0402650000D400566B
-:0402660000D5005669
-:0402670000D6005766
-:0402680000D7005764
-:0402690000D8005762
-:04026A0000D900585F
-:04026B0000DA00585D
-:04026C0000DB00585B
-:04026D0000DC005958
-:04026E0000DD005956
-:04026F0000DE005A53
-:0402700000DF005A51
-:0402710000DF005A50
-:0402720000E0005B4D
-:0402730000E1005B4B
-:0402740000E2005B49
-:0402750000E3005C46
-:0402760000E4005C44
-:0402770000E5005D41
-:0402780000E6005D3F
-:0402790000E7005D3D
-:04027A0000E8005E3A
-:04027B0000E9005E38
-:04027C0000EA005E36
-:04027D0000EB005F33
-:04027E0000EC005F31
-:04027F0000EC00602F
-:0402800000B2004880
-:0402810000B300487E
-:0402820000B400497B
-:0402830000B5004979
-:0402840000B6004977
-:0402850000B7004A74
-:0402860000B8004A72
-:0402870000B9004B6F
-:0402880000B9004B6E
-:0402890000BA004B6C
-:04028A0000BB004C69
-:04028B0000BC004C67
-:04028C0000BD004C65
-:04028D0000BE004D62
-:04028E0000BF004D60
-:04028F0000C0004E5D
-:0402900000C1004E5B
-:0402910000C2004E59
-:0402920000C3004F56
-:0402930000C4004F54
-:0402940000C5004F52
-:0402950000C5005050
-:0402960000C600504E
-:0402970000C700514B
-:0402980000C8005149
-:0402990000C9005147
-:04029A0000CA005244
-:04029B0000CB005242
-:04029C0000CC005240
-:04029D0000CD00533D
-:04029E0000CE00533B
-:04029F0000CF005438
-:0402A00000D0005436
-:0402A10000D1005434
-:0402A20000D2005531
-:0402A30000D2005530
-:0402A40000D300552E
-:0402A50000D400562B
-:0402A60000D5005629
-:0402A70000D6005726
-:0402A80000D7005724
-:0402A90000D8005722
-:0402AA0000D900581F
-:0402AB0000DA00581D
-:0402AC0000DB00581B
-:0402AD0000DC005918
-:0402AE0000DD005916
-:0402AF0000DE005A13
-:0402B00000DF005A11
-:0402B10000DF005A10
-:0402B20000E0005B0D
-:0402B30000E1005B0B
-:0402B40000E2005B09
-:0402B50000E3005C06
-:0402B60000E4005C04
-:0402B70000E5005D01
-:0402B80000E6005DFF
-:0402B90000E7005DFD
-:0402BA0000E8005EFA
-:0402BB0000E9005EF8
-:0402BC0000EA005EF6
-:0402BD0000EB005FF3
-:0402BE0000EC005FF1
-:0402BF0000EC0060EF
-:0402C00000B2004840
-:0402C10000B300483E
-:0402C20000B400493B
-:0402C30000B5004939
-:0402C40000B6004937
-:0402C50000B7004A34
-:0402C60000B8004A32
-:0402C70000B9004B2F
-:0402C80000B9004B2E
-:0402C90000BA004B2C
-:0402CA0000BB004C29
-:0402CB0000BC004C27
-:0402CC0000BD004C25
-:0402CD0000BE004D22
-:0402CE0000BF004D20
-:0402CF0000C0004E1D
-:0402D00000C1004E1B
-:0402D10000C2004E19
-:0402D20000C3004F16
-:0402D30000C4004F14
-:0402D40000C5004F12
-:0402D50000C5005010
-:0402D60000C600500E
-:0402D70000C700510B
-:0402D80000C8005109
-:0402D90000C9005107
-:0402DA0000CA005204
-:0402DB0000CB005202
-:0402DC0000CC005200
-:0402DD0000CD0053FD
-:0402DE0000CE0053FB
-:0402DF0000CF0054F8
-:0402E00000D00054F6
-:0402E10000D10054F4
-:0402E20000D20055F1
-:0402E30000D20055F0
-:0402E40000D30055EE
-:0402E50000D40056EB
-:0402E60000D50056E9
-:0402E70000D60057E6
-:0402E80000D70057E4
-:0402E90000D80057E2
-:0402EA0000D90058DF
-:0402EB0000DA0058DD
-:0402EC0000DB0058DB
-:0402ED0000DC0059D8
-:0402EE0000DD0059D6
-:0402EF0000DE005AD3
-:0402F00000DF005AD1
-:0402F10000DF005AD0
-:0402F20000E0005BCD
-:0402F30000E1005BCB
-:0402F40000E2005BC9
-:0402F50000E3005CC6
-:0402F60000E4005CC4
-:0402F70000E5005DC1
-:0402F80000E6005DBF
-:0402F90000E7005DBD
-:0402FA0000E8005EBA
-:0402FB0000E9005EB8
-:0402FC0000EA005EB6
-:0402FD0000EB005FB3
-:0402FE0000EC005FB1
-:0402FF0000EC0060AF
-:0403000000B20048FF
-:0403010000B30048FD
-:0403020000B40049FA
-:0403030000B50049F8
-:0403040000B60049F6
-:0403050000B7004AF3
-:0403060000B8004AF1
-:0403070000B9004BEE
-:0403080000B9004BED
-:0403090000BA004BEB
-:04030A0000BB004CE8
-:04030B0000BC004CE6
-:04030C0000BD004CE4
-:04030D0000BE004DE1
-:04030E0000BF004DDF
-:04030F0000C0004EDC
-:0403100000C1004EDA
-:0403110000C2004ED8
-:0403120000C3004FD5
-:0403130000C4004FD3
-:0403140000C5004FD1
-:0403150000C50050CF
-:0403160000C60050CD
-:0403170000C70051CA
-:0403180000C80051C8
-:0403190000C90051C6
-:04031A0000CA0052C3
-:04031B0000CB0052C1
-:04031C0000CC0052BF
-:04031D0000CD0053BC
-:04031E0000CE0053BA
-:04031F0000CF0054B7
-:0403200000D00054B5
-:0403210000D10054B3
-:0403220000D20055B0
-:0403230000D20055AF
-:0403240000D30055AD
-:0403250000D40056AA
-:0403260000D50056A8
-:0403270000D60057A5
-:0403280000D70057A3
-:0403290000D80057A1
-:04032A0000D900589E
-:04032B0000DA00589C
-:04032C0000DB00589A
-:04032D0000DC005997
-:04032E0000DD005995
-:04032F0000DE005A92
-:0403300000DF005A90
-:0403310000DF005A8F
-:0403320000E0005B8C
-:0403330000E1005B8A
-:0403340000E2005B88
-:0403350000E3005C85
-:0403360000E4005C83
-:0403370000E5005D80
-:0403380000E6005D7E
-:0403390000E7005D7C
-:04033A0000E8005E79
-:04033B0000E9005E77
-:04033C0000EA005E75
-:04033D0000EB005F72
-:04033E0000EC005F70
-:04033F0000EC00606E
-:0403400000B20048BF
-:0403410000B30048BD
-:0403420000B40049BA
-:0403430000B50049B8
-:0403440000B60049B6
-:0403450000B7004AB3
-:0403460000B8004AB1
-:0403470000B9004BAE
-:0403480000B9004BAD
-:0403490000BA004BAB
-:04034A0000BB004CA8
-:04034B0000BC004CA6
-:04034C0000BD004CA4
-:04034D0000BE004DA1
-:04034E0000BF004D9F
-:04034F0000C0004E9C
-:0403500000C1004E9A
-:0403510000C2004E98
-:0403520000C3004F95
-:0403530000C4004F93
-:0403540000C5004F91
-:0403550000C500508F
-:0403560000C600508D
-:0403570000C700518A
-:0403580000C8005188
-:0403590000C9005186
-:04035A0000CA005283
-:04035B0000CB005281
-:04035C0000CC00527F
-:04035D0000CD00537C
-:04035E0000CE00537A
-:04035F0000CF005477
-:0403600000D0005475
-:0403610000D1005473
-:0403620000D2005570
-:0403630000D200556F
-:0403640000D300556D
-:0403650000D400566A
-:0403660000D5005668
-:0403670000D6005765
-:0403680000D7005763
-:0403690000D8005761
-:04036A0000D900585E
-:04036B0000DA00585C
-:04036C0000DB00585A
-:04036D0000DC005957
-:04036E0000DD005955
-:04036F0000DE005A52
-:0403700000DF005A50
-:0403710000DF005A4F
-:0403720000E0005B4C
-:0403730000E1005B4A
-:0403740000E2005B48
-:0403750000E3005C45
-:0403760000E4005C43
-:0403770000E5005D40
-:0403780000E6005D3E
-:0403790000E7005D3C
-:04037A0000E8005E39
-:04037B0000E9005E37
-:04037C0000EA005E35
-:04037D0000EB005F32
-:04037E0000EC005F30
-:04037F0000EC00602E
-:0403800000B200487F
-:0403810000B300487D
-:0403820000B400497A
-:0403830000B5004978
-:0403840000B6004976
-:0403850000B7004A73
-:0403860000B8004A71
-:0403870000B9004B6E
-:0403880000B9004B6D
-:0403890000BA004B6B
-:04038A0000BB004C68
-:04038B0000BC004C66
-:04038C0000BD004C64
-:04038D0000BE004D61
-:04038E0000BF004D5F
-:04038F0000C0004E5C
-:0403900000C1004E5A
-:0403910000C2004E58
-:0403920000C3004F55
-:0403930000C4004F53
-:0403940000C5004F51
-:0403950000C500504F
-:0403960000C600504D
-:0403970000C700514A
-:0403980000C8005148
-:0403990000C9005146
-:04039A0000CA005243
-:04039B0000CB005241
-:04039C0000CC00523F
-:04039D0000CD00533C
-:04039E0000CE00533A
-:04039F0000CF005437
-:0403A00000D0005435
-:0403A10000D1005433
-:0403A20000D2005530
-:0403A30000D200552F
-:0403A40000D300552D
-:0403A50000D400562A
-:0403A60000D5005628
-:0403A70000D6005725
-:0403A80000D7005723
-:0403A90000D8005721
-:0403AA0000D900581E
-:0403AB0000DA00581C
-:0403AC0000DB00581A
-:0403AD0000DC005917
-:0403AE0000DD005915
-:0403AF0000DE005A12
-:0403B00000DF005A10
-:0403B10000DF005A0F
-:0403B20000E0005B0C
-:0403B30000E1005B0A
-:0403B40000E2005B08
-:0403B50000E3005C05
-:0403B60000E4005C03
-:0403B70000E5005D00
-:0403B80000E6005DFE
-:0403B90000E7005DFC
-:0403BA0000E8005EF9
-:0403BB0000E9005EF7
-:0403BC0000EA005EF5
-:0403BD0000EB005FF2
-:0403BE0000EC005FF0
-:0403BF0000EC0060EE
-:0403C00000B200483F
-:0403C10000B300483D
-:0403C20000B400493A
-:0403C30000B5004938
-:0403C40000B6004936
-:0403C50000B7004A33
-:0403C60000B8004A31
-:0403C70000B9004B2E
-:0403C80000B9004B2D
-:0403C90000BA004B2B
-:0403CA0000BB004C28
-:0403CB0000BC004C26
-:0403CC0000BD004C24
-:0403CD0000BE004D21
-:0403CE0000BF004D1F
-:0403CF0000C0004E1C
-:0403D00000C1004E1A
-:0403D10000C2004E18
-:0403D20000C3004F15
-:0403D30000C4004F13
-:0403D40000C5004F11
-:0403D50000C500500F
-:0403D60000C600500D
-:0403D70000C700510A
-:0403D80000C8005108
-:0403D90000C9005106
-:0403DA0000CA005203
-:0403DB0000CB005201
-:0403DC0000CC0052FF
-:0403DD0000CD0053FC
-:0403DE0000CE0053FA
-:0403DF0000CF0054F7
-:0403E00000D00054F5
-:0403E10000D10054F3
-:0403E20000D20055F0
-:0403E30000D20055EF
-:0403E40000D30055ED
-:0403E50000D40056EA
-:0403E60000D50056E8
-:0403E70000D60057E5
-:0403E80000D70057E3
-:0403E90000D80057E1
-:0403EA0000D90058DE
-:0403EB0000DA0058DC
-:0403EC0000DB0058DA
-:0403ED0000DC0059D7
-:0403EE0000DD0059D5
-:0403EF0000DE005AD2
-:0403F00000DF005AD0
-:0403F10000DF005ACF
-:0403F20000E0005BCC
-:0403F30000E1005BCA
-:0403F40000E2005BC8
-:0403F50000E3005CC5
-:0403F60000E4005CC3
-:0403F70000E5005DC0
-:0403F80000E6005DBE
-:0403F90000E7005DBC
-:0403FA0000E8005EB9
-:0403FB0000E9005EB7
-:0403FC0000EA005EB5
-:0403FD0000EB005FB2
-:0403FE0000EC005FB0
-:0403FF0000EC0060AE
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_22.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_22.hex
index e47c0e85ebb1c00d3e44d9f827913d152e13c9a2..89ee8ca527606ba2233a76656729a9f522efca0e 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_22.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_22.hex
@@ -62,964 +62,4 @@
 :04003D0000E9006373
 :04003E0000EA006371
 :04003F0000EB00646E
-:0400400000B1004BC0
-:0400410000B2004BBE
-:0400420000B3004CBB
-:0400430000B3004CBA
-:0400440000B4004DB7
-:0400450000B5004DB5
-:0400460000B6004DB3
-:0400470000B7004EB0
-:0400480000B8004EAE
-:0400490000B9004FAB
-:04004A0000BA004FA9
-:04004B0000BB004FA7
-:04004C0000BC0050A4
-:04004D0000BD0050A2
-:04004E0000BE0050A0
-:04004F0000BF00519D
-:0400500000BF00519C
-:0400510000C0005299
-:0400520000C1005297
-:0400530000C2005295
-:0400540000C3005392
-:0400550000C4005390
-:0400560000C500548D
-:0400570000C600548B
-:0400580000C7005489
-:0400590000C8005586
-:04005A0000C9005584
-:04005B0000CA005681
-:04005C0000CB00567F
-:04005D0000CB00567E
-:04005E0000CC00577B
-:04005F0000CD005779
-:0400600000CE005876
-:0400610000CF005874
-:0400620000D0005872
-:0400630000D100596F
-:0400640000D200596D
-:0400650000D300596B
-:0400660000D4005A68
-:0400670000D5005A66
-:0400680000D6005B63
-:0400690000D6005B62
-:04006A0000D7005B60
-:04006B0000D8005C5D
-:04006C0000D9005C5B
-:04006D0000DA005D58
-:04006E0000DB005D56
-:04006F0000DC005D54
-:0400700000DD005E51
-:0400710000DE005E4F
-:0400720000DF005F4C
-:0400730000E0005F4A
-:0400740000E1005F48
-:0400750000E2006045
-:0400760000E2006044
-:0400770000E3006141
-:0400780000E400613F
-:0400790000E500613D
-:04007A0000E600623A
-:04007B0000E7006238
-:04007C0000E8006236
-:04007D0000E9006333
-:04007E0000EA006331
-:04007F0000EB00642E
-:0400800000B1004B80
-:0400810000B2004B7E
-:0400820000B3004C7B
-:0400830000B3004C7A
-:0400840000B4004D77
-:0400850000B5004D75
-:0400860000B6004D73
-:0400870000B7004E70
-:0400880000B8004E6E
-:0400890000B9004F6B
-:04008A0000BA004F69
-:04008B0000BB004F67
-:04008C0000BC005064
-:04008D0000BD005062
-:04008E0000BE005060
-:04008F0000BF00515D
-:0400900000BF00515C
-:0400910000C0005259
-:0400920000C1005257
-:0400930000C2005255
-:0400940000C3005352
-:0400950000C4005350
-:0400960000C500544D
-:0400970000C600544B
-:0400980000C7005449
-:0400990000C8005546
-:04009A0000C9005544
-:04009B0000CA005641
-:04009C0000CB00563F
-:04009D0000CB00563E
-:04009E0000CC00573B
-:04009F0000CD005739
-:0400A00000CE005836
-:0400A10000CF005834
-:0400A20000D0005832
-:0400A30000D100592F
-:0400A40000D200592D
-:0400A50000D300592B
-:0400A60000D4005A28
-:0400A70000D5005A26
-:0400A80000D6005B23
-:0400A90000D6005B22
-:0400AA0000D7005B20
-:0400AB0000D8005C1D
-:0400AC0000D9005C1B
-:0400AD0000DA005D18
-:0400AE0000DB005D16
-:0400AF0000DC005D14
-:0400B00000DD005E11
-:0400B10000DE005E0F
-:0400B20000DF005F0C
-:0400B30000E0005F0A
-:0400B40000E1005F08
-:0400B50000E2006005
-:0400B60000E2006004
-:0400B70000E3006101
-:0400B80000E40061FF
-:0400B90000E50061FD
-:0400BA0000E60062FA
-:0400BB0000E70062F8
-:0400BC0000E80062F6
-:0400BD0000E90063F3
-:0400BE0000EA0063F1
-:0400BF0000EB0064EE
-:0400C00000B1004B40
-:0400C10000B2004B3E
-:0400C20000B3004C3B
-:0400C30000B3004C3A
-:0400C40000B4004D37
-:0400C50000B5004D35
-:0400C60000B6004D33
-:0400C70000B7004E30
-:0400C80000B8004E2E
-:0400C90000B9004F2B
-:0400CA0000BA004F29
-:0400CB0000BB004F27
-:0400CC0000BC005024
-:0400CD0000BD005022
-:0400CE0000BE005020
-:0400CF0000BF00511D
-:0400D00000BF00511C
-:0400D10000C0005219
-:0400D20000C1005217
-:0400D30000C2005215
-:0400D40000C3005312
-:0400D50000C4005310
-:0400D60000C500540D
-:0400D70000C600540B
-:0400D80000C7005409
-:0400D90000C8005506
-:0400DA0000C9005504
-:0400DB0000CA005601
-:0400DC0000CB0056FF
-:0400DD0000CB0056FE
-:0400DE0000CC0057FB
-:0400DF0000CD0057F9
-:0400E00000CE0058F6
-:0400E10000CF0058F4
-:0400E20000D00058F2
-:0400E30000D10059EF
-:0400E40000D20059ED
-:0400E50000D30059EB
-:0400E60000D4005AE8
-:0400E70000D5005AE6
-:0400E80000D6005BE3
-:0400E90000D6005BE2
-:0400EA0000D7005BE0
-:0400EB0000D8005CDD
-:0400EC0000D9005CDB
-:0400ED0000DA005DD8
-:0400EE0000DB005DD6
-:0400EF0000DC005DD4
-:0400F00000DD005ED1
-:0400F10000DE005ECF
-:0400F20000DF005FCC
-:0400F30000E0005FCA
-:0400F40000E1005FC8
-:0400F50000E20060C5
-:0400F60000E20060C4
-:0400F70000E30061C1
-:0400F80000E40061BF
-:0400F90000E50061BD
-:0400FA0000E60062BA
-:0400FB0000E70062B8
-:0400FC0000E80062B6
-:0400FD0000E90063B3
-:0400FE0000EA0063B1
-:0400FF0000EB0064AE
-:0401000000B1004BFF
-:0401010000B2004BFD
-:0401020000B3004CFA
-:0401030000B3004CF9
-:0401040000B4004DF6
-:0401050000B5004DF4
-:0401060000B6004DF2
-:0401070000B7004EEF
-:0401080000B8004EED
-:0401090000B9004FEA
-:04010A0000BA004FE8
-:04010B0000BB004FE6
-:04010C0000BC0050E3
-:04010D0000BD0050E1
-:04010E0000BE0050DF
-:04010F0000BF0051DC
-:0401100000BF0051DB
-:0401110000C00052D8
-:0401120000C10052D6
-:0401130000C20052D4
-:0401140000C30053D1
-:0401150000C40053CF
-:0401160000C50054CC
-:0401170000C60054CA
-:0401180000C70054C8
-:0401190000C80055C5
-:04011A0000C90055C3
-:04011B0000CA0056C0
-:04011C0000CB0056BE
-:04011D0000CB0056BD
-:04011E0000CC0057BA
-:04011F0000CD0057B8
-:0401200000CE0058B5
-:0401210000CF0058B3
-:0401220000D00058B1
-:0401230000D10059AE
-:0401240000D20059AC
-:0401250000D30059AA
-:0401260000D4005AA7
-:0401270000D5005AA5
-:0401280000D6005BA2
-:0401290000D6005BA1
-:04012A0000D7005B9F
-:04012B0000D8005C9C
-:04012C0000D9005C9A
-:04012D0000DA005D97
-:04012E0000DB005D95
-:04012F0000DC005D93
-:0401300000DD005E90
-:0401310000DE005E8E
-:0401320000DF005F8B
-:0401330000E0005F89
-:0401340000E1005F87
-:0401350000E2006084
-:0401360000E2006083
-:0401370000E3006180
-:0401380000E400617E
-:0401390000E500617C
-:04013A0000E6006279
-:04013B0000E7006277
-:04013C0000E8006275
-:04013D0000E9006372
-:04013E0000EA006370
-:04013F0000EB00646D
-:0401400000B1004BBF
-:0401410000B2004BBD
-:0401420000B3004CBA
-:0401430000B3004CB9
-:0401440000B4004DB6
-:0401450000B5004DB4
-:0401460000B6004DB2
-:0401470000B7004EAF
-:0401480000B8004EAD
-:0401490000B9004FAA
-:04014A0000BA004FA8
-:04014B0000BB004FA6
-:04014C0000BC0050A3
-:04014D0000BD0050A1
-:04014E0000BE00509F
-:04014F0000BF00519C
-:0401500000BF00519B
-:0401510000C0005298
-:0401520000C1005296
-:0401530000C2005294
-:0401540000C3005391
-:0401550000C400538F
-:0401560000C500548C
-:0401570000C600548A
-:0401580000C7005488
-:0401590000C8005585
-:04015A0000C9005583
-:04015B0000CA005680
-:04015C0000CB00567E
-:04015D0000CB00567D
-:04015E0000CC00577A
-:04015F0000CD005778
-:0401600000CE005875
-:0401610000CF005873
-:0401620000D0005871
-:0401630000D100596E
-:0401640000D200596C
-:0401650000D300596A
-:0401660000D4005A67
-:0401670000D5005A65
-:0401680000D6005B62
-:0401690000D6005B61
-:04016A0000D7005B5F
-:04016B0000D8005C5C
-:04016C0000D9005C5A
-:04016D0000DA005D57
-:04016E0000DB005D55
-:04016F0000DC005D53
-:0401700000DD005E50
-:0401710000DE005E4E
-:0401720000DF005F4B
-:0401730000E0005F49
-:0401740000E1005F47
-:0401750000E2006044
-:0401760000E2006043
-:0401770000E3006140
-:0401780000E400613E
-:0401790000E500613C
-:04017A0000E6006239
-:04017B0000E7006237
-:04017C0000E8006235
-:04017D0000E9006332
-:04017E0000EA006330
-:04017F0000EB00642D
-:0401800000B1004B7F
-:0401810000B2004B7D
-:0401820000B3004C7A
-:0401830000B3004C79
-:0401840000B4004D76
-:0401850000B5004D74
-:0401860000B6004D72
-:0401870000B7004E6F
-:0401880000B8004E6D
-:0401890000B9004F6A
-:04018A0000BA004F68
-:04018B0000BB004F66
-:04018C0000BC005063
-:04018D0000BD005061
-:04018E0000BE00505F
-:04018F0000BF00515C
-:0401900000BF00515B
-:0401910000C0005258
-:0401920000C1005256
-:0401930000C2005254
-:0401940000C3005351
-:0401950000C400534F
-:0401960000C500544C
-:0401970000C600544A
-:0401980000C7005448
-:0401990000C8005545
-:04019A0000C9005543
-:04019B0000CA005640
-:04019C0000CB00563E
-:04019D0000CB00563D
-:04019E0000CC00573A
-:04019F0000CD005738
-:0401A00000CE005835
-:0401A10000CF005833
-:0401A20000D0005831
-:0401A30000D100592E
-:0401A40000D200592C
-:0401A50000D300592A
-:0401A60000D4005A27
-:0401A70000D5005A25
-:0401A80000D6005B22
-:0401A90000D6005B21
-:0401AA0000D7005B1F
-:0401AB0000D8005C1C
-:0401AC0000D9005C1A
-:0401AD0000DA005D17
-:0401AE0000DB005D15
-:0401AF0000DC005D13
-:0401B00000DD005E10
-:0401B10000DE005E0E
-:0401B20000DF005F0B
-:0401B30000E0005F09
-:0401B40000E1005F07
-:0401B50000E2006004
-:0401B60000E2006003
-:0401B70000E3006100
-:0401B80000E40061FE
-:0401B90000E50061FC
-:0401BA0000E60062F9
-:0401BB0000E70062F7
-:0401BC0000E80062F5
-:0401BD0000E90063F2
-:0401BE0000EA0063F0
-:0401BF0000EB0064ED
-:0401C00000B1004B3F
-:0401C10000B2004B3D
-:0401C20000B3004C3A
-:0401C30000B3004C39
-:0401C40000B4004D36
-:0401C50000B5004D34
-:0401C60000B6004D32
-:0401C70000B7004E2F
-:0401C80000B8004E2D
-:0401C90000B9004F2A
-:0401CA0000BA004F28
-:0401CB0000BB004F26
-:0401CC0000BC005023
-:0401CD0000BD005021
-:0401CE0000BE00501F
-:0401CF0000BF00511C
-:0401D00000BF00511B
-:0401D10000C0005218
-:0401D20000C1005216
-:0401D30000C2005214
-:0401D40000C3005311
-:0401D50000C400530F
-:0401D60000C500540C
-:0401D70000C600540A
-:0401D80000C7005408
-:0401D90000C8005505
-:0401DA0000C9005503
-:0401DB0000CA005600
-:0401DC0000CB0056FE
-:0401DD0000CB0056FD
-:0401DE0000CC0057FA
-:0401DF0000CD0057F8
-:0401E00000CE0058F5
-:0401E10000CF0058F3
-:0401E20000D00058F1
-:0401E30000D10059EE
-:0401E40000D20059EC
-:0401E50000D30059EA
-:0401E60000D4005AE7
-:0401E70000D5005AE5
-:0401E80000D6005BE2
-:0401E90000D6005BE1
-:0401EA0000D7005BDF
-:0401EB0000D8005CDC
-:0401EC0000D9005CDA
-:0401ED0000DA005DD7
-:0401EE0000DB005DD5
-:0401EF0000DC005DD3
-:0401F00000DD005ED0
-:0401F10000DE005ECE
-:0401F20000DF005FCB
-:0401F30000E0005FC9
-:0401F40000E1005FC7
-:0401F50000E20060C4
-:0401F60000E20060C3
-:0401F70000E30061C0
-:0401F80000E40061BE
-:0401F90000E50061BC
-:0401FA0000E60062B9
-:0401FB0000E70062B7
-:0401FC0000E80062B5
-:0401FD0000E90063B2
-:0401FE0000EA0063B0
-:0401FF0000EB0064AD
-:0402000000B1004BFE
-:0402010000B2004BFC
-:0402020000B3004CF9
-:0402030000B3004CF8
-:0402040000B4004DF5
-:0402050000B5004DF3
-:0402060000B6004DF1
-:0402070000B7004EEE
-:0402080000B8004EEC
-:0402090000B9004FE9
-:04020A0000BA004FE7
-:04020B0000BB004FE5
-:04020C0000BC0050E2
-:04020D0000BD0050E0
-:04020E0000BE0050DE
-:04020F0000BF0051DB
-:0402100000BF0051DA
-:0402110000C00052D7
-:0402120000C10052D5
-:0402130000C20052D3
-:0402140000C30053D0
-:0402150000C40053CE
-:0402160000C50054CB
-:0402170000C60054C9
-:0402180000C70054C7
-:0402190000C80055C4
-:04021A0000C90055C2
-:04021B0000CA0056BF
-:04021C0000CB0056BD
-:04021D0000CB0056BC
-:04021E0000CC0057B9
-:04021F0000CD0057B7
-:0402200000CE0058B4
-:0402210000CF0058B2
-:0402220000D00058B0
-:0402230000D10059AD
-:0402240000D20059AB
-:0402250000D30059A9
-:0402260000D4005AA6
-:0402270000D5005AA4
-:0402280000D6005BA1
-:0402290000D6005BA0
-:04022A0000D7005B9E
-:04022B0000D8005C9B
-:04022C0000D9005C99
-:04022D0000DA005D96
-:04022E0000DB005D94
-:04022F0000DC005D92
-:0402300000DD005E8F
-:0402310000DE005E8D
-:0402320000DF005F8A
-:0402330000E0005F88
-:0402340000E1005F86
-:0402350000E2006083
-:0402360000E2006082
-:0402370000E300617F
-:0402380000E400617D
-:0402390000E500617B
-:04023A0000E6006278
-:04023B0000E7006276
-:04023C0000E8006274
-:04023D0000E9006371
-:04023E0000EA00636F
-:04023F0000EB00646C
-:0402400000B1004BBE
-:0402410000B2004BBC
-:0402420000B3004CB9
-:0402430000B3004CB8
-:0402440000B4004DB5
-:0402450000B5004DB3
-:0402460000B6004DB1
-:0402470000B7004EAE
-:0402480000B8004EAC
-:0402490000B9004FA9
-:04024A0000BA004FA7
-:04024B0000BB004FA5
-:04024C0000BC0050A2
-:04024D0000BD0050A0
-:04024E0000BE00509E
-:04024F0000BF00519B
-:0402500000BF00519A
-:0402510000C0005297
-:0402520000C1005295
-:0402530000C2005293
-:0402540000C3005390
-:0402550000C400538E
-:0402560000C500548B
-:0402570000C6005489
-:0402580000C7005487
-:0402590000C8005584
-:04025A0000C9005582
-:04025B0000CA00567F
-:04025C0000CB00567D
-:04025D0000CB00567C
-:04025E0000CC005779
-:04025F0000CD005777
-:0402600000CE005874
-:0402610000CF005872
-:0402620000D0005870
-:0402630000D100596D
-:0402640000D200596B
-:0402650000D3005969
-:0402660000D4005A66
-:0402670000D5005A64
-:0402680000D6005B61
-:0402690000D6005B60
-:04026A0000D7005B5E
-:04026B0000D8005C5B
-:04026C0000D9005C59
-:04026D0000DA005D56
-:04026E0000DB005D54
-:04026F0000DC005D52
-:0402700000DD005E4F
-:0402710000DE005E4D
-:0402720000DF005F4A
-:0402730000E0005F48
-:0402740000E1005F46
-:0402750000E2006043
-:0402760000E2006042
-:0402770000E300613F
-:0402780000E400613D
-:0402790000E500613B
-:04027A0000E6006238
-:04027B0000E7006236
-:04027C0000E8006234
-:04027D0000E9006331
-:04027E0000EA00632F
-:04027F0000EB00642C
-:0402800000B1004B7E
-:0402810000B2004B7C
-:0402820000B3004C79
-:0402830000B3004C78
-:0402840000B4004D75
-:0402850000B5004D73
-:0402860000B6004D71
-:0402870000B7004E6E
-:0402880000B8004E6C
-:0402890000B9004F69
-:04028A0000BA004F67
-:04028B0000BB004F65
-:04028C0000BC005062
-:04028D0000BD005060
-:04028E0000BE00505E
-:04028F0000BF00515B
-:0402900000BF00515A
-:0402910000C0005257
-:0402920000C1005255
-:0402930000C2005253
-:0402940000C3005350
-:0402950000C400534E
-:0402960000C500544B
-:0402970000C6005449
-:0402980000C7005447
-:0402990000C8005544
-:04029A0000C9005542
-:04029B0000CA00563F
-:04029C0000CB00563D
-:04029D0000CB00563C
-:04029E0000CC005739
-:04029F0000CD005737
-:0402A00000CE005834
-:0402A10000CF005832
-:0402A20000D0005830
-:0402A30000D100592D
-:0402A40000D200592B
-:0402A50000D3005929
-:0402A60000D4005A26
-:0402A70000D5005A24
-:0402A80000D6005B21
-:0402A90000D6005B20
-:0402AA0000D7005B1E
-:0402AB0000D8005C1B
-:0402AC0000D9005C19
-:0402AD0000DA005D16
-:0402AE0000DB005D14
-:0402AF0000DC005D12
-:0402B00000DD005E0F
-:0402B10000DE005E0D
-:0402B20000DF005F0A
-:0402B30000E0005F08
-:0402B40000E1005F06
-:0402B50000E2006003
-:0402B60000E2006002
-:0402B70000E30061FF
-:0402B80000E40061FD
-:0402B90000E50061FB
-:0402BA0000E60062F8
-:0402BB0000E70062F6
-:0402BC0000E80062F4
-:0402BD0000E90063F1
-:0402BE0000EA0063EF
-:0402BF0000EB0064EC
-:0402C00000B1004B3E
-:0402C10000B2004B3C
-:0402C20000B3004C39
-:0402C30000B3004C38
-:0402C40000B4004D35
-:0402C50000B5004D33
-:0402C60000B6004D31
-:0402C70000B7004E2E
-:0402C80000B8004E2C
-:0402C90000B9004F29
-:0402CA0000BA004F27
-:0402CB0000BB004F25
-:0402CC0000BC005022
-:0402CD0000BD005020
-:0402CE0000BE00501E
-:0402CF0000BF00511B
-:0402D00000BF00511A
-:0402D10000C0005217
-:0402D20000C1005215
-:0402D30000C2005213
-:0402D40000C3005310
-:0402D50000C400530E
-:0402D60000C500540B
-:0402D70000C6005409
-:0402D80000C7005407
-:0402D90000C8005504
-:0402DA0000C9005502
-:0402DB0000CA0056FF
-:0402DC0000CB0056FD
-:0402DD0000CB0056FC
-:0402DE0000CC0057F9
-:0402DF0000CD0057F7
-:0402E00000CE0058F4
-:0402E10000CF0058F2
-:0402E20000D00058F0
-:0402E30000D10059ED
-:0402E40000D20059EB
-:0402E50000D30059E9
-:0402E60000D4005AE6
-:0402E70000D5005AE4
-:0402E80000D6005BE1
-:0402E90000D6005BE0
-:0402EA0000D7005BDE
-:0402EB0000D8005CDB
-:0402EC0000D9005CD9
-:0402ED0000DA005DD6
-:0402EE0000DB005DD4
-:0402EF0000DC005DD2
-:0402F00000DD005ECF
-:0402F10000DE005ECD
-:0402F20000DF005FCA
-:0402F30000E0005FC8
-:0402F40000E1005FC6
-:0402F50000E20060C3
-:0402F60000E20060C2
-:0402F70000E30061BF
-:0402F80000E40061BD
-:0402F90000E50061BB
-:0402FA0000E60062B8
-:0402FB0000E70062B6
-:0402FC0000E80062B4
-:0402FD0000E90063B1
-:0402FE0000EA0063AF
-:0402FF0000EB0064AC
-:0403000000B1004BFD
-:0403010000B2004BFB
-:0403020000B3004CF8
-:0403030000B3004CF7
-:0403040000B4004DF4
-:0403050000B5004DF2
-:0403060000B6004DF0
-:0403070000B7004EED
-:0403080000B8004EEB
-:0403090000B9004FE8
-:04030A0000BA004FE6
-:04030B0000BB004FE4
-:04030C0000BC0050E1
-:04030D0000BD0050DF
-:04030E0000BE0050DD
-:04030F0000BF0051DA
-:0403100000BF0051D9
-:0403110000C00052D6
-:0403120000C10052D4
-:0403130000C20052D2
-:0403140000C30053CF
-:0403150000C40053CD
-:0403160000C50054CA
-:0403170000C60054C8
-:0403180000C70054C6
-:0403190000C80055C3
-:04031A0000C90055C1
-:04031B0000CA0056BE
-:04031C0000CB0056BC
-:04031D0000CB0056BB
-:04031E0000CC0057B8
-:04031F0000CD0057B6
-:0403200000CE0058B3
-:0403210000CF0058B1
-:0403220000D00058AF
-:0403230000D10059AC
-:0403240000D20059AA
-:0403250000D30059A8
-:0403260000D4005AA5
-:0403270000D5005AA3
-:0403280000D6005BA0
-:0403290000D6005B9F
-:04032A0000D7005B9D
-:04032B0000D8005C9A
-:04032C0000D9005C98
-:04032D0000DA005D95
-:04032E0000DB005D93
-:04032F0000DC005D91
-:0403300000DD005E8E
-:0403310000DE005E8C
-:0403320000DF005F89
-:0403330000E0005F87
-:0403340000E1005F85
-:0403350000E2006082
-:0403360000E2006081
-:0403370000E300617E
-:0403380000E400617C
-:0403390000E500617A
-:04033A0000E6006277
-:04033B0000E7006275
-:04033C0000E8006273
-:04033D0000E9006370
-:04033E0000EA00636E
-:04033F0000EB00646B
-:0403400000B1004BBD
-:0403410000B2004BBB
-:0403420000B3004CB8
-:0403430000B3004CB7
-:0403440000B4004DB4
-:0403450000B5004DB2
-:0403460000B6004DB0
-:0403470000B7004EAD
-:0403480000B8004EAB
-:0403490000B9004FA8
-:04034A0000BA004FA6
-:04034B0000BB004FA4
-:04034C0000BC0050A1
-:04034D0000BD00509F
-:04034E0000BE00509D
-:04034F0000BF00519A
-:0403500000BF005199
-:0403510000C0005296
-:0403520000C1005294
-:0403530000C2005292
-:0403540000C300538F
-:0403550000C400538D
-:0403560000C500548A
-:0403570000C6005488
-:0403580000C7005486
-:0403590000C8005583
-:04035A0000C9005581
-:04035B0000CA00567E
-:04035C0000CB00567C
-:04035D0000CB00567B
-:04035E0000CC005778
-:04035F0000CD005776
-:0403600000CE005873
-:0403610000CF005871
-:0403620000D000586F
-:0403630000D100596C
-:0403640000D200596A
-:0403650000D3005968
-:0403660000D4005A65
-:0403670000D5005A63
-:0403680000D6005B60
-:0403690000D6005B5F
-:04036A0000D7005B5D
-:04036B0000D8005C5A
-:04036C0000D9005C58
-:04036D0000DA005D55
-:04036E0000DB005D53
-:04036F0000DC005D51
-:0403700000DD005E4E
-:0403710000DE005E4C
-:0403720000DF005F49
-:0403730000E0005F47
-:0403740000E1005F45
-:0403750000E2006042
-:0403760000E2006041
-:0403770000E300613E
-:0403780000E400613C
-:0403790000E500613A
-:04037A0000E6006237
-:04037B0000E7006235
-:04037C0000E8006233
-:04037D0000E9006330
-:04037E0000EA00632E
-:04037F0000EB00642B
-:0403800000B1004B7D
-:0403810000B2004B7B
-:0403820000B3004C78
-:0403830000B3004C77
-:0403840000B4004D74
-:0403850000B5004D72
-:0403860000B6004D70
-:0403870000B7004E6D
-:0403880000B8004E6B
-:0403890000B9004F68
-:04038A0000BA004F66
-:04038B0000BB004F64
-:04038C0000BC005061
-:04038D0000BD00505F
-:04038E0000BE00505D
-:04038F0000BF00515A
-:0403900000BF005159
-:0403910000C0005256
-:0403920000C1005254
-:0403930000C2005252
-:0403940000C300534F
-:0403950000C400534D
-:0403960000C500544A
-:0403970000C6005448
-:0403980000C7005446
-:0403990000C8005543
-:04039A0000C9005541
-:04039B0000CA00563E
-:04039C0000CB00563C
-:04039D0000CB00563B
-:04039E0000CC005738
-:04039F0000CD005736
-:0403A00000CE005833
-:0403A10000CF005831
-:0403A20000D000582F
-:0403A30000D100592C
-:0403A40000D200592A
-:0403A50000D3005928
-:0403A60000D4005A25
-:0403A70000D5005A23
-:0403A80000D6005B20
-:0403A90000D6005B1F
-:0403AA0000D7005B1D
-:0403AB0000D8005C1A
-:0403AC0000D9005C18
-:0403AD0000DA005D15
-:0403AE0000DB005D13
-:0403AF0000DC005D11
-:0403B00000DD005E0E
-:0403B10000DE005E0C
-:0403B20000DF005F09
-:0403B30000E0005F07
-:0403B40000E1005F05
-:0403B50000E2006002
-:0403B60000E2006001
-:0403B70000E30061FE
-:0403B80000E40061FC
-:0403B90000E50061FA
-:0403BA0000E60062F7
-:0403BB0000E70062F5
-:0403BC0000E80062F3
-:0403BD0000E90063F0
-:0403BE0000EA0063EE
-:0403BF0000EB0064EB
-:0403C00000B1004B3D
-:0403C10000B2004B3B
-:0403C20000B3004C38
-:0403C30000B3004C37
-:0403C40000B4004D34
-:0403C50000B5004D32
-:0403C60000B6004D30
-:0403C70000B7004E2D
-:0403C80000B8004E2B
-:0403C90000B9004F28
-:0403CA0000BA004F26
-:0403CB0000BB004F24
-:0403CC0000BC005021
-:0403CD0000BD00501F
-:0403CE0000BE00501D
-:0403CF0000BF00511A
-:0403D00000BF005119
-:0403D10000C0005216
-:0403D20000C1005214
-:0403D30000C2005212
-:0403D40000C300530F
-:0403D50000C400530D
-:0403D60000C500540A
-:0403D70000C6005408
-:0403D80000C7005406
-:0403D90000C8005503
-:0403DA0000C9005501
-:0403DB0000CA0056FE
-:0403DC0000CB0056FC
-:0403DD0000CB0056FB
-:0403DE0000CC0057F8
-:0403DF0000CD0057F6
-:0403E00000CE0058F3
-:0403E10000CF0058F1
-:0403E20000D00058EF
-:0403E30000D10059EC
-:0403E40000D20059EA
-:0403E50000D30059E8
-:0403E60000D4005AE5
-:0403E70000D5005AE3
-:0403E80000D6005BE0
-:0403E90000D6005BDF
-:0403EA0000D7005BDD
-:0403EB0000D8005CDA
-:0403EC0000D9005CD8
-:0403ED0000DA005DD5
-:0403EE0000DB005DD3
-:0403EF0000DC005DD1
-:0403F00000DD005ECE
-:0403F10000DE005ECC
-:0403F20000DF005FC9
-:0403F30000E0005FC7
-:0403F40000E1005FC5
-:0403F50000E20060C2
-:0403F60000E20060C1
-:0403F70000E30061BE
-:0403F80000E40061BC
-:0403F90000E50061BA
-:0403FA0000E60062B7
-:0403FB0000E70062B5
-:0403FC0000E80062B3
-:0403FD0000E90063B0
-:0403FE0000EA0063AE
-:0403FF0000EB0064AB
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_23.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_23.hex
index fc18a22e5afabee52ff50839053fecd7e6e95b89..fbad5431eb62021761b63a62947afc793ab6ce06 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_23.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_23.hex
@@ -62,964 +62,4 @@
 :04003D0000E7006771
 :04003E0000E800676F
 :04003F0000E900686C
-:0400400000AF004EBF
-:0400410000B0004FBC
-:0400420000B1004FBA
-:0400430000B2004FB8
-:0400440000B30050B5
-:0400450000B40050B3
-:0400460000B50051B0
-:0400470000B60051AE
-:0400480000B70051AC
-:0400490000B80052A9
-:04004A0000B90052A7
-:04004B0000B90053A5
-:04004C0000BA0053A3
-:04004D0000BB0053A1
-:04004E0000BC00549E
-:04004F0000BD00549C
-:0400500000BE005599
-:0400510000BF005597
-:0400520000C0005595
-:0400530000C1005692
-:0400540000C2005690
-:0400550000C300578D
-:0400560000C300578C
-:0400570000C400578A
-:0400580000C5005887
-:0400590000C6005885
-:04005A0000C7005982
-:04005B0000C8005980
-:04005C0000C900597E
-:04005D0000CA005A7B
-:04005E0000CB005A79
-:04005F0000CC005B76
-:0400600000CD005B74
-:0400610000CE005C71
-:0400620000CE005C70
-:0400630000CF005C6E
-:0400640000D0005D6B
-:0400650000D1005D69
-:0400660000D2005E66
-:0400670000D3005E64
-:0400680000D4005E62
-:0400690000D5005F5F
-:04006A0000D6005F5D
-:04006B0000D700605A
-:04006C0000D8006058
-:04006D0000D9006056
-:04006E0000D9006154
-:04006F0000DA006152
-:0400700000DB00624F
-:0400710000DC00624D
-:0400720000DD00624B
-:0400730000DE006348
-:0400740000DF006346
-:0400750000E0006443
-:0400760000E1006441
-:0400770000E200643F
-:0400780000E300653C
-:0400790000E300653B
-:04007A0000E4006638
-:04007B0000E5006636
-:04007C0000E6006634
-:04007D0000E7006731
-:04007E0000E800672F
-:04007F0000E900682C
-:0400800000AF004E7F
-:0400810000B0004F7C
-:0400820000B1004F7A
-:0400830000B2004F78
-:0400840000B3005075
-:0400850000B4005073
-:0400860000B5005170
-:0400870000B600516E
-:0400880000B700516C
-:0400890000B8005269
-:04008A0000B9005267
-:04008B0000B9005365
-:04008C0000BA005363
-:04008D0000BB005361
-:04008E0000BC00545E
-:04008F0000BD00545C
-:0400900000BE005559
-:0400910000BF005557
-:0400920000C0005555
-:0400930000C1005652
-:0400940000C2005650
-:0400950000C300574D
-:0400960000C300574C
-:0400970000C400574A
-:0400980000C5005847
-:0400990000C6005845
-:04009A0000C7005942
-:04009B0000C8005940
-:04009C0000C900593E
-:04009D0000CA005A3B
-:04009E0000CB005A39
-:04009F0000CC005B36
-:0400A00000CD005B34
-:0400A10000CE005C31
-:0400A20000CE005C30
-:0400A30000CF005C2E
-:0400A40000D0005D2B
-:0400A50000D1005D29
-:0400A60000D2005E26
-:0400A70000D3005E24
-:0400A80000D4005E22
-:0400A90000D5005F1F
-:0400AA0000D6005F1D
-:0400AB0000D700601A
-:0400AC0000D8006018
-:0400AD0000D9006016
-:0400AE0000D9006114
-:0400AF0000DA006112
-:0400B00000DB00620F
-:0400B10000DC00620D
-:0400B20000DD00620B
-:0400B30000DE006308
-:0400B40000DF006306
-:0400B50000E0006403
-:0400B60000E1006401
-:0400B70000E20064FF
-:0400B80000E30065FC
-:0400B90000E30065FB
-:0400BA0000E40066F8
-:0400BB0000E50066F6
-:0400BC0000E60066F4
-:0400BD0000E70067F1
-:0400BE0000E80067EF
-:0400BF0000E90068EC
-:0400C00000AF004E3F
-:0400C10000B0004F3C
-:0400C20000B1004F3A
-:0400C30000B2004F38
-:0400C40000B3005035
-:0400C50000B4005033
-:0400C60000B5005130
-:0400C70000B600512E
-:0400C80000B700512C
-:0400C90000B8005229
-:0400CA0000B9005227
-:0400CB0000B9005325
-:0400CC0000BA005323
-:0400CD0000BB005321
-:0400CE0000BC00541E
-:0400CF0000BD00541C
-:0400D00000BE005519
-:0400D10000BF005517
-:0400D20000C0005515
-:0400D30000C1005612
-:0400D40000C2005610
-:0400D50000C300570D
-:0400D60000C300570C
-:0400D70000C400570A
-:0400D80000C5005807
-:0400D90000C6005805
-:0400DA0000C7005902
-:0400DB0000C8005900
-:0400DC0000C90059FE
-:0400DD0000CA005AFB
-:0400DE0000CB005AF9
-:0400DF0000CC005BF6
-:0400E00000CD005BF4
-:0400E10000CE005CF1
-:0400E20000CE005CF0
-:0400E30000CF005CEE
-:0400E40000D0005DEB
-:0400E50000D1005DE9
-:0400E60000D2005EE6
-:0400E70000D3005EE4
-:0400E80000D4005EE2
-:0400E90000D5005FDF
-:0400EA0000D6005FDD
-:0400EB0000D70060DA
-:0400EC0000D80060D8
-:0400ED0000D90060D6
-:0400EE0000D90061D4
-:0400EF0000DA0061D2
-:0400F00000DB0062CF
-:0400F10000DC0062CD
-:0400F20000DD0062CB
-:0400F30000DE0063C8
-:0400F40000DF0063C6
-:0400F50000E00064C3
-:0400F60000E10064C1
-:0400F70000E20064BF
-:0400F80000E30065BC
-:0400F90000E30065BB
-:0400FA0000E40066B8
-:0400FB0000E50066B6
-:0400FC0000E60066B4
-:0400FD0000E70067B1
-:0400FE0000E80067AF
-:0400FF0000E90068AC
-:0401000000AF004EFE
-:0401010000B0004FFB
-:0401020000B1004FF9
-:0401030000B2004FF7
-:0401040000B30050F4
-:0401050000B40050F2
-:0401060000B50051EF
-:0401070000B60051ED
-:0401080000B70051EB
-:0401090000B80052E8
-:04010A0000B90052E6
-:04010B0000B90053E4
-:04010C0000BA0053E2
-:04010D0000BB0053E0
-:04010E0000BC0054DD
-:04010F0000BD0054DB
-:0401100000BE0055D8
-:0401110000BF0055D6
-:0401120000C00055D4
-:0401130000C10056D1
-:0401140000C20056CF
-:0401150000C30057CC
-:0401160000C30057CB
-:0401170000C40057C9
-:0401180000C50058C6
-:0401190000C60058C4
-:04011A0000C70059C1
-:04011B0000C80059BF
-:04011C0000C90059BD
-:04011D0000CA005ABA
-:04011E0000CB005AB8
-:04011F0000CC005BB5
-:0401200000CD005BB3
-:0401210000CE005CB0
-:0401220000CE005CAF
-:0401230000CF005CAD
-:0401240000D0005DAA
-:0401250000D1005DA8
-:0401260000D2005EA5
-:0401270000D3005EA3
-:0401280000D4005EA1
-:0401290000D5005F9E
-:04012A0000D6005F9C
-:04012B0000D7006099
-:04012C0000D8006097
-:04012D0000D9006095
-:04012E0000D9006193
-:04012F0000DA006191
-:0401300000DB00628E
-:0401310000DC00628C
-:0401320000DD00628A
-:0401330000DE006387
-:0401340000DF006385
-:0401350000E0006482
-:0401360000E1006480
-:0401370000E200647E
-:0401380000E300657B
-:0401390000E300657A
-:04013A0000E4006677
-:04013B0000E5006675
-:04013C0000E6006673
-:04013D0000E7006770
-:04013E0000E800676E
-:04013F0000E900686B
-:0401400000AF004EBE
-:0401410000B0004FBB
-:0401420000B1004FB9
-:0401430000B2004FB7
-:0401440000B30050B4
-:0401450000B40050B2
-:0401460000B50051AF
-:0401470000B60051AD
-:0401480000B70051AB
-:0401490000B80052A8
-:04014A0000B90052A6
-:04014B0000B90053A4
-:04014C0000BA0053A2
-:04014D0000BB0053A0
-:04014E0000BC00549D
-:04014F0000BD00549B
-:0401500000BE005598
-:0401510000BF005596
-:0401520000C0005594
-:0401530000C1005691
-:0401540000C200568F
-:0401550000C300578C
-:0401560000C300578B
-:0401570000C4005789
-:0401580000C5005886
-:0401590000C6005884
-:04015A0000C7005981
-:04015B0000C800597F
-:04015C0000C900597D
-:04015D0000CA005A7A
-:04015E0000CB005A78
-:04015F0000CC005B75
-:0401600000CD005B73
-:0401610000CE005C70
-:0401620000CE005C6F
-:0401630000CF005C6D
-:0401640000D0005D6A
-:0401650000D1005D68
-:0401660000D2005E65
-:0401670000D3005E63
-:0401680000D4005E61
-:0401690000D5005F5E
-:04016A0000D6005F5C
-:04016B0000D7006059
-:04016C0000D8006057
-:04016D0000D9006055
-:04016E0000D9006153
-:04016F0000DA006151
-:0401700000DB00624E
-:0401710000DC00624C
-:0401720000DD00624A
-:0401730000DE006347
-:0401740000DF006345
-:0401750000E0006442
-:0401760000E1006440
-:0401770000E200643E
-:0401780000E300653B
-:0401790000E300653A
-:04017A0000E4006637
-:04017B0000E5006635
-:04017C0000E6006633
-:04017D0000E7006730
-:04017E0000E800672E
-:04017F0000E900682B
-:0401800000AF004E7E
-:0401810000B0004F7B
-:0401820000B1004F79
-:0401830000B2004F77
-:0401840000B3005074
-:0401850000B4005072
-:0401860000B500516F
-:0401870000B600516D
-:0401880000B700516B
-:0401890000B8005268
-:04018A0000B9005266
-:04018B0000B9005364
-:04018C0000BA005362
-:04018D0000BB005360
-:04018E0000BC00545D
-:04018F0000BD00545B
-:0401900000BE005558
-:0401910000BF005556
-:0401920000C0005554
-:0401930000C1005651
-:0401940000C200564F
-:0401950000C300574C
-:0401960000C300574B
-:0401970000C4005749
-:0401980000C5005846
-:0401990000C6005844
-:04019A0000C7005941
-:04019B0000C800593F
-:04019C0000C900593D
-:04019D0000CA005A3A
-:04019E0000CB005A38
-:04019F0000CC005B35
-:0401A00000CD005B33
-:0401A10000CE005C30
-:0401A20000CE005C2F
-:0401A30000CF005C2D
-:0401A40000D0005D2A
-:0401A50000D1005D28
-:0401A60000D2005E25
-:0401A70000D3005E23
-:0401A80000D4005E21
-:0401A90000D5005F1E
-:0401AA0000D6005F1C
-:0401AB0000D7006019
-:0401AC0000D8006017
-:0401AD0000D9006015
-:0401AE0000D9006113
-:0401AF0000DA006111
-:0401B00000DB00620E
-:0401B10000DC00620C
-:0401B20000DD00620A
-:0401B30000DE006307
-:0401B40000DF006305
-:0401B50000E0006402
-:0401B60000E1006400
-:0401B70000E20064FE
-:0401B80000E30065FB
-:0401B90000E30065FA
-:0401BA0000E40066F7
-:0401BB0000E50066F5
-:0401BC0000E60066F3
-:0401BD0000E70067F0
-:0401BE0000E80067EE
-:0401BF0000E90068EB
-:0401C00000AF004E3E
-:0401C10000B0004F3B
-:0401C20000B1004F39
-:0401C30000B2004F37
-:0401C40000B3005034
-:0401C50000B4005032
-:0401C60000B500512F
-:0401C70000B600512D
-:0401C80000B700512B
-:0401C90000B8005228
-:0401CA0000B9005226
-:0401CB0000B9005324
-:0401CC0000BA005322
-:0401CD0000BB005320
-:0401CE0000BC00541D
-:0401CF0000BD00541B
-:0401D00000BE005518
-:0401D10000BF005516
-:0401D20000C0005514
-:0401D30000C1005611
-:0401D40000C200560F
-:0401D50000C300570C
-:0401D60000C300570B
-:0401D70000C4005709
-:0401D80000C5005806
-:0401D90000C6005804
-:0401DA0000C7005901
-:0401DB0000C80059FF
-:0401DC0000C90059FD
-:0401DD0000CA005AFA
-:0401DE0000CB005AF8
-:0401DF0000CC005BF5
-:0401E00000CD005BF3
-:0401E10000CE005CF0
-:0401E20000CE005CEF
-:0401E30000CF005CED
-:0401E40000D0005DEA
-:0401E50000D1005DE8
-:0401E60000D2005EE5
-:0401E70000D3005EE3
-:0401E80000D4005EE1
-:0401E90000D5005FDE
-:0401EA0000D6005FDC
-:0401EB0000D70060D9
-:0401EC0000D80060D7
-:0401ED0000D90060D5
-:0401EE0000D90061D3
-:0401EF0000DA0061D1
-:0401F00000DB0062CE
-:0401F10000DC0062CC
-:0401F20000DD0062CA
-:0401F30000DE0063C7
-:0401F40000DF0063C5
-:0401F50000E00064C2
-:0401F60000E10064C0
-:0401F70000E20064BE
-:0401F80000E30065BB
-:0401F90000E30065BA
-:0401FA0000E40066B7
-:0401FB0000E50066B5
-:0401FC0000E60066B3
-:0401FD0000E70067B0
-:0401FE0000E80067AE
-:0401FF0000E90068AB
-:0402000000AF004EFD
-:0402010000B0004FFA
-:0402020000B1004FF8
-:0402030000B2004FF6
-:0402040000B30050F3
-:0402050000B40050F1
-:0402060000B50051EE
-:0402070000B60051EC
-:0402080000B70051EA
-:0402090000B80052E7
-:04020A0000B90052E5
-:04020B0000B90053E3
-:04020C0000BA0053E1
-:04020D0000BB0053DF
-:04020E0000BC0054DC
-:04020F0000BD0054DA
-:0402100000BE0055D7
-:0402110000BF0055D5
-:0402120000C00055D3
-:0402130000C10056D0
-:0402140000C20056CE
-:0402150000C30057CB
-:0402160000C30057CA
-:0402170000C40057C8
-:0402180000C50058C5
-:0402190000C60058C3
-:04021A0000C70059C0
-:04021B0000C80059BE
-:04021C0000C90059BC
-:04021D0000CA005AB9
-:04021E0000CB005AB7
-:04021F0000CC005BB4
-:0402200000CD005BB2
-:0402210000CE005CAF
-:0402220000CE005CAE
-:0402230000CF005CAC
-:0402240000D0005DA9
-:0402250000D1005DA7
-:0402260000D2005EA4
-:0402270000D3005EA2
-:0402280000D4005EA0
-:0402290000D5005F9D
-:04022A0000D6005F9B
-:04022B0000D7006098
-:04022C0000D8006096
-:04022D0000D9006094
-:04022E0000D9006192
-:04022F0000DA006190
-:0402300000DB00628D
-:0402310000DC00628B
-:0402320000DD006289
-:0402330000DE006386
-:0402340000DF006384
-:0402350000E0006481
-:0402360000E100647F
-:0402370000E200647D
-:0402380000E300657A
-:0402390000E3006579
-:04023A0000E4006676
-:04023B0000E5006674
-:04023C0000E6006672
-:04023D0000E700676F
-:04023E0000E800676D
-:04023F0000E900686A
-:0402400000AF004EBD
-:0402410000B0004FBA
-:0402420000B1004FB8
-:0402430000B2004FB6
-:0402440000B30050B3
-:0402450000B40050B1
-:0402460000B50051AE
-:0402470000B60051AC
-:0402480000B70051AA
-:0402490000B80052A7
-:04024A0000B90052A5
-:04024B0000B90053A3
-:04024C0000BA0053A1
-:04024D0000BB00539F
-:04024E0000BC00549C
-:04024F0000BD00549A
-:0402500000BE005597
-:0402510000BF005595
-:0402520000C0005593
-:0402530000C1005690
-:0402540000C200568E
-:0402550000C300578B
-:0402560000C300578A
-:0402570000C4005788
-:0402580000C5005885
-:0402590000C6005883
-:04025A0000C7005980
-:04025B0000C800597E
-:04025C0000C900597C
-:04025D0000CA005A79
-:04025E0000CB005A77
-:04025F0000CC005B74
-:0402600000CD005B72
-:0402610000CE005C6F
-:0402620000CE005C6E
-:0402630000CF005C6C
-:0402640000D0005D69
-:0402650000D1005D67
-:0402660000D2005E64
-:0402670000D3005E62
-:0402680000D4005E60
-:0402690000D5005F5D
-:04026A0000D6005F5B
-:04026B0000D7006058
-:04026C0000D8006056
-:04026D0000D9006054
-:04026E0000D9006152
-:04026F0000DA006150
-:0402700000DB00624D
-:0402710000DC00624B
-:0402720000DD006249
-:0402730000DE006346
-:0402740000DF006344
-:0402750000E0006441
-:0402760000E100643F
-:0402770000E200643D
-:0402780000E300653A
-:0402790000E3006539
-:04027A0000E4006636
-:04027B0000E5006634
-:04027C0000E6006632
-:04027D0000E700672F
-:04027E0000E800672D
-:04027F0000E900682A
-:0402800000AF004E7D
-:0402810000B0004F7A
-:0402820000B1004F78
-:0402830000B2004F76
-:0402840000B3005073
-:0402850000B4005071
-:0402860000B500516E
-:0402870000B600516C
-:0402880000B700516A
-:0402890000B8005267
-:04028A0000B9005265
-:04028B0000B9005363
-:04028C0000BA005361
-:04028D0000BB00535F
-:04028E0000BC00545C
-:04028F0000BD00545A
-:0402900000BE005557
-:0402910000BF005555
-:0402920000C0005553
-:0402930000C1005650
-:0402940000C200564E
-:0402950000C300574B
-:0402960000C300574A
-:0402970000C4005748
-:0402980000C5005845
-:0402990000C6005843
-:04029A0000C7005940
-:04029B0000C800593E
-:04029C0000C900593C
-:04029D0000CA005A39
-:04029E0000CB005A37
-:04029F0000CC005B34
-:0402A00000CD005B32
-:0402A10000CE005C2F
-:0402A20000CE005C2E
-:0402A30000CF005C2C
-:0402A40000D0005D29
-:0402A50000D1005D27
-:0402A60000D2005E24
-:0402A70000D3005E22
-:0402A80000D4005E20
-:0402A90000D5005F1D
-:0402AA0000D6005F1B
-:0402AB0000D7006018
-:0402AC0000D8006016
-:0402AD0000D9006014
-:0402AE0000D9006112
-:0402AF0000DA006110
-:0402B00000DB00620D
-:0402B10000DC00620B
-:0402B20000DD006209
-:0402B30000DE006306
-:0402B40000DF006304
-:0402B50000E0006401
-:0402B60000E10064FF
-:0402B70000E20064FD
-:0402B80000E30065FA
-:0402B90000E30065F9
-:0402BA0000E40066F6
-:0402BB0000E50066F4
-:0402BC0000E60066F2
-:0402BD0000E70067EF
-:0402BE0000E80067ED
-:0402BF0000E90068EA
-:0402C00000AF004E3D
-:0402C10000B0004F3A
-:0402C20000B1004F38
-:0402C30000B2004F36
-:0402C40000B3005033
-:0402C50000B4005031
-:0402C60000B500512E
-:0402C70000B600512C
-:0402C80000B700512A
-:0402C90000B8005227
-:0402CA0000B9005225
-:0402CB0000B9005323
-:0402CC0000BA005321
-:0402CD0000BB00531F
-:0402CE0000BC00541C
-:0402CF0000BD00541A
-:0402D00000BE005517
-:0402D10000BF005515
-:0402D20000C0005513
-:0402D30000C1005610
-:0402D40000C200560E
-:0402D50000C300570B
-:0402D60000C300570A
-:0402D70000C4005708
-:0402D80000C5005805
-:0402D90000C6005803
-:0402DA0000C7005900
-:0402DB0000C80059FE
-:0402DC0000C90059FC
-:0402DD0000CA005AF9
-:0402DE0000CB005AF7
-:0402DF0000CC005BF4
-:0402E00000CD005BF2
-:0402E10000CE005CEF
-:0402E20000CE005CEE
-:0402E30000CF005CEC
-:0402E40000D0005DE9
-:0402E50000D1005DE7
-:0402E60000D2005EE4
-:0402E70000D3005EE2
-:0402E80000D4005EE0
-:0402E90000D5005FDD
-:0402EA0000D6005FDB
-:0402EB0000D70060D8
-:0402EC0000D80060D6
-:0402ED0000D90060D4
-:0402EE0000D90061D2
-:0402EF0000DA0061D0
-:0402F00000DB0062CD
-:0402F10000DC0062CB
-:0402F20000DD0062C9
-:0402F30000DE0063C6
-:0402F40000DF0063C4
-:0402F50000E00064C1
-:0402F60000E10064BF
-:0402F70000E20064BD
-:0402F80000E30065BA
-:0402F90000E30065B9
-:0402FA0000E40066B6
-:0402FB0000E50066B4
-:0402FC0000E60066B2
-:0402FD0000E70067AF
-:0402FE0000E80067AD
-:0402FF0000E90068AA
-:0403000000AF004EFC
-:0403010000B0004FF9
-:0403020000B1004FF7
-:0403030000B2004FF5
-:0403040000B30050F2
-:0403050000B40050F0
-:0403060000B50051ED
-:0403070000B60051EB
-:0403080000B70051E9
-:0403090000B80052E6
-:04030A0000B90052E4
-:04030B0000B90053E2
-:04030C0000BA0053E0
-:04030D0000BB0053DE
-:04030E0000BC0054DB
-:04030F0000BD0054D9
-:0403100000BE0055D6
-:0403110000BF0055D4
-:0403120000C00055D2
-:0403130000C10056CF
-:0403140000C20056CD
-:0403150000C30057CA
-:0403160000C30057C9
-:0403170000C40057C7
-:0403180000C50058C4
-:0403190000C60058C2
-:04031A0000C70059BF
-:04031B0000C80059BD
-:04031C0000C90059BB
-:04031D0000CA005AB8
-:04031E0000CB005AB6
-:04031F0000CC005BB3
-:0403200000CD005BB1
-:0403210000CE005CAE
-:0403220000CE005CAD
-:0403230000CF005CAB
-:0403240000D0005DA8
-:0403250000D1005DA6
-:0403260000D2005EA3
-:0403270000D3005EA1
-:0403280000D4005E9F
-:0403290000D5005F9C
-:04032A0000D6005F9A
-:04032B0000D7006097
-:04032C0000D8006095
-:04032D0000D9006093
-:04032E0000D9006191
-:04032F0000DA00618F
-:0403300000DB00628C
-:0403310000DC00628A
-:0403320000DD006288
-:0403330000DE006385
-:0403340000DF006383
-:0403350000E0006480
-:0403360000E100647E
-:0403370000E200647C
-:0403380000E3006579
-:0403390000E3006578
-:04033A0000E4006675
-:04033B0000E5006673
-:04033C0000E6006671
-:04033D0000E700676E
-:04033E0000E800676C
-:04033F0000E9006869
-:0403400000AF004EBC
-:0403410000B0004FB9
-:0403420000B1004FB7
-:0403430000B2004FB5
-:0403440000B30050B2
-:0403450000B40050B0
-:0403460000B50051AD
-:0403470000B60051AB
-:0403480000B70051A9
-:0403490000B80052A6
-:04034A0000B90052A4
-:04034B0000B90053A2
-:04034C0000BA0053A0
-:04034D0000BB00539E
-:04034E0000BC00549B
-:04034F0000BD005499
-:0403500000BE005596
-:0403510000BF005594
-:0403520000C0005592
-:0403530000C100568F
-:0403540000C200568D
-:0403550000C300578A
-:0403560000C3005789
-:0403570000C4005787
-:0403580000C5005884
-:0403590000C6005882
-:04035A0000C700597F
-:04035B0000C800597D
-:04035C0000C900597B
-:04035D0000CA005A78
-:04035E0000CB005A76
-:04035F0000CC005B73
-:0403600000CD005B71
-:0403610000CE005C6E
-:0403620000CE005C6D
-:0403630000CF005C6B
-:0403640000D0005D68
-:0403650000D1005D66
-:0403660000D2005E63
-:0403670000D3005E61
-:0403680000D4005E5F
-:0403690000D5005F5C
-:04036A0000D6005F5A
-:04036B0000D7006057
-:04036C0000D8006055
-:04036D0000D9006053
-:04036E0000D9006151
-:04036F0000DA00614F
-:0403700000DB00624C
-:0403710000DC00624A
-:0403720000DD006248
-:0403730000DE006345
-:0403740000DF006343
-:0403750000E0006440
-:0403760000E100643E
-:0403770000E200643C
-:0403780000E3006539
-:0403790000E3006538
-:04037A0000E4006635
-:04037B0000E5006633
-:04037C0000E6006631
-:04037D0000E700672E
-:04037E0000E800672C
-:04037F0000E9006829
-:0403800000AF004E7C
-:0403810000B0004F79
-:0403820000B1004F77
-:0403830000B2004F75
-:0403840000B3005072
-:0403850000B4005070
-:0403860000B500516D
-:0403870000B600516B
-:0403880000B7005169
-:0403890000B8005266
-:04038A0000B9005264
-:04038B0000B9005362
-:04038C0000BA005360
-:04038D0000BB00535E
-:04038E0000BC00545B
-:04038F0000BD005459
-:0403900000BE005556
-:0403910000BF005554
-:0403920000C0005552
-:0403930000C100564F
-:0403940000C200564D
-:0403950000C300574A
-:0403960000C3005749
-:0403970000C4005747
-:0403980000C5005844
-:0403990000C6005842
-:04039A0000C700593F
-:04039B0000C800593D
-:04039C0000C900593B
-:04039D0000CA005A38
-:04039E0000CB005A36
-:04039F0000CC005B33
-:0403A00000CD005B31
-:0403A10000CE005C2E
-:0403A20000CE005C2D
-:0403A30000CF005C2B
-:0403A40000D0005D28
-:0403A50000D1005D26
-:0403A60000D2005E23
-:0403A70000D3005E21
-:0403A80000D4005E1F
-:0403A90000D5005F1C
-:0403AA0000D6005F1A
-:0403AB0000D7006017
-:0403AC0000D8006015
-:0403AD0000D9006013
-:0403AE0000D9006111
-:0403AF0000DA00610F
-:0403B00000DB00620C
-:0403B10000DC00620A
-:0403B20000DD006208
-:0403B30000DE006305
-:0403B40000DF006303
-:0403B50000E0006400
-:0403B60000E10064FE
-:0403B70000E20064FC
-:0403B80000E30065F9
-:0403B90000E30065F8
-:0403BA0000E40066F5
-:0403BB0000E50066F3
-:0403BC0000E60066F1
-:0403BD0000E70067EE
-:0403BE0000E80067EC
-:0403BF0000E90068E9
-:0403C00000AF004E3C
-:0403C10000B0004F39
-:0403C20000B1004F37
-:0403C30000B2004F35
-:0403C40000B3005032
-:0403C50000B4005030
-:0403C60000B500512D
-:0403C70000B600512B
-:0403C80000B7005129
-:0403C90000B8005226
-:0403CA0000B9005224
-:0403CB0000B9005322
-:0403CC0000BA005320
-:0403CD0000BB00531E
-:0403CE0000BC00541B
-:0403CF0000BD005419
-:0403D00000BE005516
-:0403D10000BF005514
-:0403D20000C0005512
-:0403D30000C100560F
-:0403D40000C200560D
-:0403D50000C300570A
-:0403D60000C3005709
-:0403D70000C4005707
-:0403D80000C5005804
-:0403D90000C6005802
-:0403DA0000C70059FF
-:0403DB0000C80059FD
-:0403DC0000C90059FB
-:0403DD0000CA005AF8
-:0403DE0000CB005AF6
-:0403DF0000CC005BF3
-:0403E00000CD005BF1
-:0403E10000CE005CEE
-:0403E20000CE005CED
-:0403E30000CF005CEB
-:0403E40000D0005DE8
-:0403E50000D1005DE6
-:0403E60000D2005EE3
-:0403E70000D3005EE1
-:0403E80000D4005EDF
-:0403E90000D5005FDC
-:0403EA0000D6005FDA
-:0403EB0000D70060D7
-:0403EC0000D80060D5
-:0403ED0000D90060D3
-:0403EE0000D90061D1
-:0403EF0000DA0061CF
-:0403F00000DB0062CC
-:0403F10000DC0062CA
-:0403F20000DD0062C8
-:0403F30000DE0063C5
-:0403F40000DF0063C3
-:0403F50000E00064C0
-:0403F60000E10064BE
-:0403F70000E20064BC
-:0403F80000E30065B9
-:0403F90000E30065B8
-:0403FA0000E40066B5
-:0403FB0000E50066B3
-:0403FC0000E60066B1
-:0403FD0000E70067AE
-:0403FE0000E80067AC
-:0403FF0000E90068A9
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_3.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_3.hex
index e6c82d147689f52e8725e32c61f38d9a8bcb172a..883d45b7a337a85e5b346e181de39698f67da58d 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_3.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_3.hex
@@ -62,964 +62,4 @@
 :04003D0000FC0012B1
 :04003E0000FD0012AF
 :04003F0000FE0012AD
-:0400400000C0000DEF
-:0400410000C1000DED
-:0400420000C2000EEA
-:0400430000C3000EE8
-:0400440000C4000EE6
-:0400450000C5000EE4
-:0400460000C6000EE2
-:0400470000C7000EE0
-:0400480000C8000EDE
-:0400490000C9000EDC
-:04004A0000CA000EDA
-:04004B0000CB000ED8
-:04004C0000CC000ED6
-:04004D0000CD000ED4
-:04004E0000CD000ED3
-:04004F0000CE000ED1
-:0400500000CF000FCE
-:0400510000D0000FCC
-:0400520000D1000FCA
-:0400530000D2000FC8
-:0400540000D3000FC6
-:0400550000D4000FC4
-:0400560000D5000FC2
-:0400570000D6000FC0
-:0400580000D7000FBE
-:0400590000D8000FBC
-:04005A0000D9000FBA
-:04005B0000DA000FB8
-:04005C0000DB000FB6
-:04005D0000DC000FB4
-:04005E0000DD000FB2
-:04005F0000DE0010AF
-:0400600000DF0010AD
-:0400610000E00010AB
-:0400620000E10010A9
-:0400630000E20010A7
-:0400640000E30010A5
-:0400650000E40010A3
-:0400660000E50010A1
-:0400670000E600109F
-:0400680000E700109D
-:0400690000E800109B
-:04006A0000E9001099
-:04006B0000EA001097
-:04006C0000EB001095
-:04006D0000EC001192
-:04006E0000ED001190
-:04006F0000EE00118E
-:0400700000EF00118C
-:0400710000F000118A
-:0400720000F1001188
-:0400730000F2001186
-:0400740000F3001184
-:0400750000F4001182
-:0400760000F5001180
-:0400770000F600117E
-:0400780000F700117C
-:0400790000F800117A
-:04007A0000F9001178
-:04007B0000FA001275
-:04007C0000FB001273
-:04007D0000FC001271
-:04007E0000FD00126F
-:04007F0000FE00126D
-:0400800000C0000DAF
-:0400810000C1000DAD
-:0400820000C2000EAA
-:0400830000C3000EA8
-:0400840000C4000EA6
-:0400850000C5000EA4
-:0400860000C6000EA2
-:0400870000C7000EA0
-:0400880000C8000E9E
-:0400890000C9000E9C
-:04008A0000CA000E9A
-:04008B0000CB000E98
-:04008C0000CC000E96
-:04008D0000CD000E94
-:04008E0000CD000E93
-:04008F0000CE000E91
-:0400900000CF000F8E
-:0400910000D0000F8C
-:0400920000D1000F8A
-:0400930000D2000F88
-:0400940000D3000F86
-:0400950000D4000F84
-:0400960000D5000F82
-:0400970000D6000F80
-:0400980000D7000F7E
-:0400990000D8000F7C
-:04009A0000D9000F7A
-:04009B0000DA000F78
-:04009C0000DB000F76
-:04009D0000DC000F74
-:04009E0000DD000F72
-:04009F0000DE00106F
-:0400A00000DF00106D
-:0400A10000E000106B
-:0400A20000E1001069
-:0400A30000E2001067
-:0400A40000E3001065
-:0400A50000E4001063
-:0400A60000E5001061
-:0400A70000E600105F
-:0400A80000E700105D
-:0400A90000E800105B
-:0400AA0000E9001059
-:0400AB0000EA001057
-:0400AC0000EB001055
-:0400AD0000EC001152
-:0400AE0000ED001150
-:0400AF0000EE00114E
-:0400B00000EF00114C
-:0400B10000F000114A
-:0400B20000F1001148
-:0400B30000F2001146
-:0400B40000F3001144
-:0400B50000F4001142
-:0400B60000F5001140
-:0400B70000F600113E
-:0400B80000F700113C
-:0400B90000F800113A
-:0400BA0000F9001138
-:0400BB0000FA001235
-:0400BC0000FB001233
-:0400BD0000FC001231
-:0400BE0000FD00122F
-:0400BF0000FE00122D
-:0400C00000C0000D6F
-:0400C10000C1000D6D
-:0400C20000C2000E6A
-:0400C30000C3000E68
-:0400C40000C4000E66
-:0400C50000C5000E64
-:0400C60000C6000E62
-:0400C70000C7000E60
-:0400C80000C8000E5E
-:0400C90000C9000E5C
-:0400CA0000CA000E5A
-:0400CB0000CB000E58
-:0400CC0000CC000E56
-:0400CD0000CD000E54
-:0400CE0000CD000E53
-:0400CF0000CE000E51
-:0400D00000CF000F4E
-:0400D10000D0000F4C
-:0400D20000D1000F4A
-:0400D30000D2000F48
-:0400D40000D3000F46
-:0400D50000D4000F44
-:0400D60000D5000F42
-:0400D70000D6000F40
-:0400D80000D7000F3E
-:0400D90000D8000F3C
-:0400DA0000D9000F3A
-:0400DB0000DA000F38
-:0400DC0000DB000F36
-:0400DD0000DC000F34
-:0400DE0000DD000F32
-:0400DF0000DE00102F
-:0400E00000DF00102D
-:0400E10000E000102B
-:0400E20000E1001029
-:0400E30000E2001027
-:0400E40000E3001025
-:0400E50000E4001023
-:0400E60000E5001021
-:0400E70000E600101F
-:0400E80000E700101D
-:0400E90000E800101B
-:0400EA0000E9001019
-:0400EB0000EA001017
-:0400EC0000EB001015
-:0400ED0000EC001112
-:0400EE0000ED001110
-:0400EF0000EE00110E
-:0400F00000EF00110C
-:0400F10000F000110A
-:0400F20000F1001108
-:0400F30000F2001106
-:0400F40000F3001104
-:0400F50000F4001102
-:0400F60000F5001100
-:0400F70000F60011FE
-:0400F80000F70011FC
-:0400F90000F80011FA
-:0400FA0000F90011F8
-:0400FB0000FA0012F5
-:0400FC0000FB0012F3
-:0400FD0000FC0012F1
-:0400FE0000FD0012EF
-:0400FF0000FE0012ED
-:0401000000C0000D2E
-:0401010000C1000D2C
-:0401020000C2000E29
-:0401030000C3000E27
-:0401040000C4000E25
-:0401050000C5000E23
-:0401060000C6000E21
-:0401070000C7000E1F
-:0401080000C8000E1D
-:0401090000C9000E1B
-:04010A0000CA000E19
-:04010B0000CB000E17
-:04010C0000CC000E15
-:04010D0000CD000E13
-:04010E0000CD000E12
-:04010F0000CE000E10
-:0401100000CF000F0D
-:0401110000D0000F0B
-:0401120000D1000F09
-:0401130000D2000F07
-:0401140000D3000F05
-:0401150000D4000F03
-:0401160000D5000F01
-:0401170000D6000FFF
-:0401180000D7000FFD
-:0401190000D8000FFB
-:04011A0000D9000FF9
-:04011B0000DA000FF7
-:04011C0000DB000FF5
-:04011D0000DC000FF3
-:04011E0000DD000FF1
-:04011F0000DE0010EE
-:0401200000DF0010EC
-:0401210000E00010EA
-:0401220000E10010E8
-:0401230000E20010E6
-:0401240000E30010E4
-:0401250000E40010E2
-:0401260000E50010E0
-:0401270000E60010DE
-:0401280000E70010DC
-:0401290000E80010DA
-:04012A0000E90010D8
-:04012B0000EA0010D6
-:04012C0000EB0010D4
-:04012D0000EC0011D1
-:04012E0000ED0011CF
-:04012F0000EE0011CD
-:0401300000EF0011CB
-:0401310000F00011C9
-:0401320000F10011C7
-:0401330000F20011C5
-:0401340000F30011C3
-:0401350000F40011C1
-:0401360000F50011BF
-:0401370000F60011BD
-:0401380000F70011BB
-:0401390000F80011B9
-:04013A0000F90011B7
-:04013B0000FA0012B4
-:04013C0000FB0012B2
-:04013D0000FC0012B0
-:04013E0000FD0012AE
-:04013F0000FE0012AC
-:0401400000C0000DEE
-:0401410000C1000DEC
-:0401420000C2000EE9
-:0401430000C3000EE7
-:0401440000C4000EE5
-:0401450000C5000EE3
-:0401460000C6000EE1
-:0401470000C7000EDF
-:0401480000C8000EDD
-:0401490000C9000EDB
-:04014A0000CA000ED9
-:04014B0000CB000ED7
-:04014C0000CC000ED5
-:04014D0000CD000ED3
-:04014E0000CD000ED2
-:04014F0000CE000ED0
-:0401500000CF000FCD
-:0401510000D0000FCB
-:0401520000D1000FC9
-:0401530000D2000FC7
-:0401540000D3000FC5
-:0401550000D4000FC3
-:0401560000D5000FC1
-:0401570000D6000FBF
-:0401580000D7000FBD
-:0401590000D8000FBB
-:04015A0000D9000FB9
-:04015B0000DA000FB7
-:04015C0000DB000FB5
-:04015D0000DC000FB3
-:04015E0000DD000FB1
-:04015F0000DE0010AE
-:0401600000DF0010AC
-:0401610000E00010AA
-:0401620000E10010A8
-:0401630000E20010A6
-:0401640000E30010A4
-:0401650000E40010A2
-:0401660000E50010A0
-:0401670000E600109E
-:0401680000E700109C
-:0401690000E800109A
-:04016A0000E9001098
-:04016B0000EA001096
-:04016C0000EB001094
-:04016D0000EC001191
-:04016E0000ED00118F
-:04016F0000EE00118D
-:0401700000EF00118B
-:0401710000F0001189
-:0401720000F1001187
-:0401730000F2001185
-:0401740000F3001183
-:0401750000F4001181
-:0401760000F500117F
-:0401770000F600117D
-:0401780000F700117B
-:0401790000F8001179
-:04017A0000F9001177
-:04017B0000FA001274
-:04017C0000FB001272
-:04017D0000FC001270
-:04017E0000FD00126E
-:04017F0000FE00126C
-:0401800000C0000DAE
-:0401810000C1000DAC
-:0401820000C2000EA9
-:0401830000C3000EA7
-:0401840000C4000EA5
-:0401850000C5000EA3
-:0401860000C6000EA1
-:0401870000C7000E9F
-:0401880000C8000E9D
-:0401890000C9000E9B
-:04018A0000CA000E99
-:04018B0000CB000E97
-:04018C0000CC000E95
-:04018D0000CD000E93
-:04018E0000CD000E92
-:04018F0000CE000E90
-:0401900000CF000F8D
-:0401910000D0000F8B
-:0401920000D1000F89
-:0401930000D2000F87
-:0401940000D3000F85
-:0401950000D4000F83
-:0401960000D5000F81
-:0401970000D6000F7F
-:0401980000D7000F7D
-:0401990000D8000F7B
-:04019A0000D9000F79
-:04019B0000DA000F77
-:04019C0000DB000F75
-:04019D0000DC000F73
-:04019E0000DD000F71
-:04019F0000DE00106E
-:0401A00000DF00106C
-:0401A10000E000106A
-:0401A20000E1001068
-:0401A30000E2001066
-:0401A40000E3001064
-:0401A50000E4001062
-:0401A60000E5001060
-:0401A70000E600105E
-:0401A80000E700105C
-:0401A90000E800105A
-:0401AA0000E9001058
-:0401AB0000EA001056
-:0401AC0000EB001054
-:0401AD0000EC001151
-:0401AE0000ED00114F
-:0401AF0000EE00114D
-:0401B00000EF00114B
-:0401B10000F0001149
-:0401B20000F1001147
-:0401B30000F2001145
-:0401B40000F3001143
-:0401B50000F4001141
-:0401B60000F500113F
-:0401B70000F600113D
-:0401B80000F700113B
-:0401B90000F8001139
-:0401BA0000F9001137
-:0401BB0000FA001234
-:0401BC0000FB001232
-:0401BD0000FC001230
-:0401BE0000FD00122E
-:0401BF0000FE00122C
-:0401C00000C0000D6E
-:0401C10000C1000D6C
-:0401C20000C2000E69
-:0401C30000C3000E67
-:0401C40000C4000E65
-:0401C50000C5000E63
-:0401C60000C6000E61
-:0401C70000C7000E5F
-:0401C80000C8000E5D
-:0401C90000C9000E5B
-:0401CA0000CA000E59
-:0401CB0000CB000E57
-:0401CC0000CC000E55
-:0401CD0000CD000E53
-:0401CE0000CD000E52
-:0401CF0000CE000E50
-:0401D00000CF000F4D
-:0401D10000D0000F4B
-:0401D20000D1000F49
-:0401D30000D2000F47
-:0401D40000D3000F45
-:0401D50000D4000F43
-:0401D60000D5000F41
-:0401D70000D6000F3F
-:0401D80000D7000F3D
-:0401D90000D8000F3B
-:0401DA0000D9000F39
-:0401DB0000DA000F37
-:0401DC0000DB000F35
-:0401DD0000DC000F33
-:0401DE0000DD000F31
-:0401DF0000DE00102E
-:0401E00000DF00102C
-:0401E10000E000102A
-:0401E20000E1001028
-:0401E30000E2001026
-:0401E40000E3001024
-:0401E50000E4001022
-:0401E60000E5001020
-:0401E70000E600101E
-:0401E80000E700101C
-:0401E90000E800101A
-:0401EA0000E9001018
-:0401EB0000EA001016
-:0401EC0000EB001014
-:0401ED0000EC001111
-:0401EE0000ED00110F
-:0401EF0000EE00110D
-:0401F00000EF00110B
-:0401F10000F0001109
-:0401F20000F1001107
-:0401F30000F2001105
-:0401F40000F3001103
-:0401F50000F4001101
-:0401F60000F50011FF
-:0401F70000F60011FD
-:0401F80000F70011FB
-:0401F90000F80011F9
-:0401FA0000F90011F7
-:0401FB0000FA0012F4
-:0401FC0000FB0012F2
-:0401FD0000FC0012F0
-:0401FE0000FD0012EE
-:0401FF0000FE0012EC
-:0402000000C0000D2D
-:0402010000C1000D2B
-:0402020000C2000E28
-:0402030000C3000E26
-:0402040000C4000E24
-:0402050000C5000E22
-:0402060000C6000E20
-:0402070000C7000E1E
-:0402080000C8000E1C
-:0402090000C9000E1A
-:04020A0000CA000E18
-:04020B0000CB000E16
-:04020C0000CC000E14
-:04020D0000CD000E12
-:04020E0000CD000E11
-:04020F0000CE000E0F
-:0402100000CF000F0C
-:0402110000D0000F0A
-:0402120000D1000F08
-:0402130000D2000F06
-:0402140000D3000F04
-:0402150000D4000F02
-:0402160000D5000F00
-:0402170000D6000FFE
-:0402180000D7000FFC
-:0402190000D8000FFA
-:04021A0000D9000FF8
-:04021B0000DA000FF6
-:04021C0000DB000FF4
-:04021D0000DC000FF2
-:04021E0000DD000FF0
-:04021F0000DE0010ED
-:0402200000DF0010EB
-:0402210000E00010E9
-:0402220000E10010E7
-:0402230000E20010E5
-:0402240000E30010E3
-:0402250000E40010E1
-:0402260000E50010DF
-:0402270000E60010DD
-:0402280000E70010DB
-:0402290000E80010D9
-:04022A0000E90010D7
-:04022B0000EA0010D5
-:04022C0000EB0010D3
-:04022D0000EC0011D0
-:04022E0000ED0011CE
-:04022F0000EE0011CC
-:0402300000EF0011CA
-:0402310000F00011C8
-:0402320000F10011C6
-:0402330000F20011C4
-:0402340000F30011C2
-:0402350000F40011C0
-:0402360000F50011BE
-:0402370000F60011BC
-:0402380000F70011BA
-:0402390000F80011B8
-:04023A0000F90011B6
-:04023B0000FA0012B3
-:04023C0000FB0012B1
-:04023D0000FC0012AF
-:04023E0000FD0012AD
-:04023F0000FE0012AB
-:0402400000C0000DED
-:0402410000C1000DEB
-:0402420000C2000EE8
-:0402430000C3000EE6
-:0402440000C4000EE4
-:0402450000C5000EE2
-:0402460000C6000EE0
-:0402470000C7000EDE
-:0402480000C8000EDC
-:0402490000C9000EDA
-:04024A0000CA000ED8
-:04024B0000CB000ED6
-:04024C0000CC000ED4
-:04024D0000CD000ED2
-:04024E0000CD000ED1
-:04024F0000CE000ECF
-:0402500000CF000FCC
-:0402510000D0000FCA
-:0402520000D1000FC8
-:0402530000D2000FC6
-:0402540000D3000FC4
-:0402550000D4000FC2
-:0402560000D5000FC0
-:0402570000D6000FBE
-:0402580000D7000FBC
-:0402590000D8000FBA
-:04025A0000D9000FB8
-:04025B0000DA000FB6
-:04025C0000DB000FB4
-:04025D0000DC000FB2
-:04025E0000DD000FB0
-:04025F0000DE0010AD
-:0402600000DF0010AB
-:0402610000E00010A9
-:0402620000E10010A7
-:0402630000E20010A5
-:0402640000E30010A3
-:0402650000E40010A1
-:0402660000E500109F
-:0402670000E600109D
-:0402680000E700109B
-:0402690000E8001099
-:04026A0000E9001097
-:04026B0000EA001095
-:04026C0000EB001093
-:04026D0000EC001190
-:04026E0000ED00118E
-:04026F0000EE00118C
-:0402700000EF00118A
-:0402710000F0001188
-:0402720000F1001186
-:0402730000F2001184
-:0402740000F3001182
-:0402750000F4001180
-:0402760000F500117E
-:0402770000F600117C
-:0402780000F700117A
-:0402790000F8001178
-:04027A0000F9001176
-:04027B0000FA001273
-:04027C0000FB001271
-:04027D0000FC00126F
-:04027E0000FD00126D
-:04027F0000FE00126B
-:0402800000C0000DAD
-:0402810000C1000DAB
-:0402820000C2000EA8
-:0402830000C3000EA6
-:0402840000C4000EA4
-:0402850000C5000EA2
-:0402860000C6000EA0
-:0402870000C7000E9E
-:0402880000C8000E9C
-:0402890000C9000E9A
-:04028A0000CA000E98
-:04028B0000CB000E96
-:04028C0000CC000E94
-:04028D0000CD000E92
-:04028E0000CD000E91
-:04028F0000CE000E8F
-:0402900000CF000F8C
-:0402910000D0000F8A
-:0402920000D1000F88
-:0402930000D2000F86
-:0402940000D3000F84
-:0402950000D4000F82
-:0402960000D5000F80
-:0402970000D6000F7E
-:0402980000D7000F7C
-:0402990000D8000F7A
-:04029A0000D9000F78
-:04029B0000DA000F76
-:04029C0000DB000F74
-:04029D0000DC000F72
-:04029E0000DD000F70
-:04029F0000DE00106D
-:0402A00000DF00106B
-:0402A10000E0001069
-:0402A20000E1001067
-:0402A30000E2001065
-:0402A40000E3001063
-:0402A50000E4001061
-:0402A60000E500105F
-:0402A70000E600105D
-:0402A80000E700105B
-:0402A90000E8001059
-:0402AA0000E9001057
-:0402AB0000EA001055
-:0402AC0000EB001053
-:0402AD0000EC001150
-:0402AE0000ED00114E
-:0402AF0000EE00114C
-:0402B00000EF00114A
-:0402B10000F0001148
-:0402B20000F1001146
-:0402B30000F2001144
-:0402B40000F3001142
-:0402B50000F4001140
-:0402B60000F500113E
-:0402B70000F600113C
-:0402B80000F700113A
-:0402B90000F8001138
-:0402BA0000F9001136
-:0402BB0000FA001233
-:0402BC0000FB001231
-:0402BD0000FC00122F
-:0402BE0000FD00122D
-:0402BF0000FE00122B
-:0402C00000C0000D6D
-:0402C10000C1000D6B
-:0402C20000C2000E68
-:0402C30000C3000E66
-:0402C40000C4000E64
-:0402C50000C5000E62
-:0402C60000C6000E60
-:0402C70000C7000E5E
-:0402C80000C8000E5C
-:0402C90000C9000E5A
-:0402CA0000CA000E58
-:0402CB0000CB000E56
-:0402CC0000CC000E54
-:0402CD0000CD000E52
-:0402CE0000CD000E51
-:0402CF0000CE000E4F
-:0402D00000CF000F4C
-:0402D10000D0000F4A
-:0402D20000D1000F48
-:0402D30000D2000F46
-:0402D40000D3000F44
-:0402D50000D4000F42
-:0402D60000D5000F40
-:0402D70000D6000F3E
-:0402D80000D7000F3C
-:0402D90000D8000F3A
-:0402DA0000D9000F38
-:0402DB0000DA000F36
-:0402DC0000DB000F34
-:0402DD0000DC000F32
-:0402DE0000DD000F30
-:0402DF0000DE00102D
-:0402E00000DF00102B
-:0402E10000E0001029
-:0402E20000E1001027
-:0402E30000E2001025
-:0402E40000E3001023
-:0402E50000E4001021
-:0402E60000E500101F
-:0402E70000E600101D
-:0402E80000E700101B
-:0402E90000E8001019
-:0402EA0000E9001017
-:0402EB0000EA001015
-:0402EC0000EB001013
-:0402ED0000EC001110
-:0402EE0000ED00110E
-:0402EF0000EE00110C
-:0402F00000EF00110A
-:0402F10000F0001108
-:0402F20000F1001106
-:0402F30000F2001104
-:0402F40000F3001102
-:0402F50000F4001100
-:0402F60000F50011FE
-:0402F70000F60011FC
-:0402F80000F70011FA
-:0402F90000F80011F8
-:0402FA0000F90011F6
-:0402FB0000FA0012F3
-:0402FC0000FB0012F1
-:0402FD0000FC0012EF
-:0402FE0000FD0012ED
-:0402FF0000FE0012EB
-:0403000000C0000D2C
-:0403010000C1000D2A
-:0403020000C2000E27
-:0403030000C3000E25
-:0403040000C4000E23
-:0403050000C5000E21
-:0403060000C6000E1F
-:0403070000C7000E1D
-:0403080000C8000E1B
-:0403090000C9000E19
-:04030A0000CA000E17
-:04030B0000CB000E15
-:04030C0000CC000E13
-:04030D0000CD000E11
-:04030E0000CD000E10
-:04030F0000CE000E0E
-:0403100000CF000F0B
-:0403110000D0000F09
-:0403120000D1000F07
-:0403130000D2000F05
-:0403140000D3000F03
-:0403150000D4000F01
-:0403160000D5000FFF
-:0403170000D6000FFD
-:0403180000D7000FFB
-:0403190000D8000FF9
-:04031A0000D9000FF7
-:04031B0000DA000FF5
-:04031C0000DB000FF3
-:04031D0000DC000FF1
-:04031E0000DD000FEF
-:04031F0000DE0010EC
-:0403200000DF0010EA
-:0403210000E00010E8
-:0403220000E10010E6
-:0403230000E20010E4
-:0403240000E30010E2
-:0403250000E40010E0
-:0403260000E50010DE
-:0403270000E60010DC
-:0403280000E70010DA
-:0403290000E80010D8
-:04032A0000E90010D6
-:04032B0000EA0010D4
-:04032C0000EB0010D2
-:04032D0000EC0011CF
-:04032E0000ED0011CD
-:04032F0000EE0011CB
-:0403300000EF0011C9
-:0403310000F00011C7
-:0403320000F10011C5
-:0403330000F20011C3
-:0403340000F30011C1
-:0403350000F40011BF
-:0403360000F50011BD
-:0403370000F60011BB
-:0403380000F70011B9
-:0403390000F80011B7
-:04033A0000F90011B5
-:04033B0000FA0012B2
-:04033C0000FB0012B0
-:04033D0000FC0012AE
-:04033E0000FD0012AC
-:04033F0000FE0012AA
-:0403400000C0000DEC
-:0403410000C1000DEA
-:0403420000C2000EE7
-:0403430000C3000EE5
-:0403440000C4000EE3
-:0403450000C5000EE1
-:0403460000C6000EDF
-:0403470000C7000EDD
-:0403480000C8000EDB
-:0403490000C9000ED9
-:04034A0000CA000ED7
-:04034B0000CB000ED5
-:04034C0000CC000ED3
-:04034D0000CD000ED1
-:04034E0000CD000ED0
-:04034F0000CE000ECE
-:0403500000CF000FCB
-:0403510000D0000FC9
-:0403520000D1000FC7
-:0403530000D2000FC5
-:0403540000D3000FC3
-:0403550000D4000FC1
-:0403560000D5000FBF
-:0403570000D6000FBD
-:0403580000D7000FBB
-:0403590000D8000FB9
-:04035A0000D9000FB7
-:04035B0000DA000FB5
-:04035C0000DB000FB3
-:04035D0000DC000FB1
-:04035E0000DD000FAF
-:04035F0000DE0010AC
-:0403600000DF0010AA
-:0403610000E00010A8
-:0403620000E10010A6
-:0403630000E20010A4
-:0403640000E30010A2
-:0403650000E40010A0
-:0403660000E500109E
-:0403670000E600109C
-:0403680000E700109A
-:0403690000E8001098
-:04036A0000E9001096
-:04036B0000EA001094
-:04036C0000EB001092
-:04036D0000EC00118F
-:04036E0000ED00118D
-:04036F0000EE00118B
-:0403700000EF001189
-:0403710000F0001187
-:0403720000F1001185
-:0403730000F2001183
-:0403740000F3001181
-:0403750000F400117F
-:0403760000F500117D
-:0403770000F600117B
-:0403780000F7001179
-:0403790000F8001177
-:04037A0000F9001175
-:04037B0000FA001272
-:04037C0000FB001270
-:04037D0000FC00126E
-:04037E0000FD00126C
-:04037F0000FE00126A
-:0403800000C0000DAC
-:0403810000C1000DAA
-:0403820000C2000EA7
-:0403830000C3000EA5
-:0403840000C4000EA3
-:0403850000C5000EA1
-:0403860000C6000E9F
-:0403870000C7000E9D
-:0403880000C8000E9B
-:0403890000C9000E99
-:04038A0000CA000E97
-:04038B0000CB000E95
-:04038C0000CC000E93
-:04038D0000CD000E91
-:04038E0000CD000E90
-:04038F0000CE000E8E
-:0403900000CF000F8B
-:0403910000D0000F89
-:0403920000D1000F87
-:0403930000D2000F85
-:0403940000D3000F83
-:0403950000D4000F81
-:0403960000D5000F7F
-:0403970000D6000F7D
-:0403980000D7000F7B
-:0403990000D8000F79
-:04039A0000D9000F77
-:04039B0000DA000F75
-:04039C0000DB000F73
-:04039D0000DC000F71
-:04039E0000DD000F6F
-:04039F0000DE00106C
-:0403A00000DF00106A
-:0403A10000E0001068
-:0403A20000E1001066
-:0403A30000E2001064
-:0403A40000E3001062
-:0403A50000E4001060
-:0403A60000E500105E
-:0403A70000E600105C
-:0403A80000E700105A
-:0403A90000E8001058
-:0403AA0000E9001056
-:0403AB0000EA001054
-:0403AC0000EB001052
-:0403AD0000EC00114F
-:0403AE0000ED00114D
-:0403AF0000EE00114B
-:0403B00000EF001149
-:0403B10000F0001147
-:0403B20000F1001145
-:0403B30000F2001143
-:0403B40000F3001141
-:0403B50000F400113F
-:0403B60000F500113D
-:0403B70000F600113B
-:0403B80000F7001139
-:0403B90000F8001137
-:0403BA0000F9001135
-:0403BB0000FA001232
-:0403BC0000FB001230
-:0403BD0000FC00122E
-:0403BE0000FD00122C
-:0403BF0000FE00122A
-:0403C00000C0000D6C
-:0403C10000C1000D6A
-:0403C20000C2000E67
-:0403C30000C3000E65
-:0403C40000C4000E63
-:0403C50000C5000E61
-:0403C60000C6000E5F
-:0403C70000C7000E5D
-:0403C80000C8000E5B
-:0403C90000C9000E59
-:0403CA0000CA000E57
-:0403CB0000CB000E55
-:0403CC0000CC000E53
-:0403CD0000CD000E51
-:0403CE0000CD000E50
-:0403CF0000CE000E4E
-:0403D00000CF000F4B
-:0403D10000D0000F49
-:0403D20000D1000F47
-:0403D30000D2000F45
-:0403D40000D3000F43
-:0403D50000D4000F41
-:0403D60000D5000F3F
-:0403D70000D6000F3D
-:0403D80000D7000F3B
-:0403D90000D8000F39
-:0403DA0000D9000F37
-:0403DB0000DA000F35
-:0403DC0000DB000F33
-:0403DD0000DC000F31
-:0403DE0000DD000F2F
-:0403DF0000DE00102C
-:0403E00000DF00102A
-:0403E10000E0001028
-:0403E20000E1001026
-:0403E30000E2001024
-:0403E40000E3001022
-:0403E50000E4001020
-:0403E60000E500101E
-:0403E70000E600101C
-:0403E80000E700101A
-:0403E90000E8001018
-:0403EA0000E9001016
-:0403EB0000EA001014
-:0403EC0000EB001012
-:0403ED0000EC00110F
-:0403EE0000ED00110D
-:0403EF0000EE00110B
-:0403F00000EF001109
-:0403F10000F0001107
-:0403F20000F1001105
-:0403F30000F2001103
-:0403F40000F3001101
-:0403F50000F40011FF
-:0403F60000F50011FD
-:0403F70000F60011FB
-:0403F80000F70011F9
-:0403F90000F80011F7
-:0403FA0000F90011F5
-:0403FB0000FA0012F2
-:0403FC0000FB0012F0
-:0403FD0000FC0012EE
-:0403FE0000FD0012EC
-:0403FF0000FE0012EA
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_4.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_4.hex
index 92e099395c9e784d94038a4295be49623360cd0d..0e6785c379d18be174072a803e6be0528cd1f664 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_4.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_4.hex
@@ -62,964 +62,4 @@
 :04003D0000FC0016AD
 :04003E0000FD0016AB
 :04003F0000FE0016A9
-:0400400000BF0011EC
-:0400410000C00011EA
-:0400420000C10011E8
-:0400430000C20011E6
-:0400440000C30011E4
-:0400450000C40011E2
-:0400460000C50011E0
-:0400470000C60011DE
-:0400480000C70011DC
-:0400490000C80012D9
-:04004A0000C90012D7
-:04004B0000CA0012D5
-:04004C0000CB0012D3
-:04004D0000CC0012D1
-:04004E0000CD0012CF
-:04004F0000CE0012CD
-:0400500000CF0012CB
-:0400510000D00012C9
-:0400520000D10012C7
-:0400530000D20012C5
-:0400540000D30012C3
-:0400550000D40013C0
-:0400560000D50013BE
-:0400570000D60013BC
-:0400580000D70013BA
-:0400590000D80013B8
-:04005A0000D90013B6
-:04005B0000DA0013B4
-:04005C0000DB0013B2
-:04005D0000DC0013B0
-:04005E0000DD0013AE
-:04005F0000DE0013AC
-:0400600000DF0014A9
-:0400610000E00014A7
-:0400620000E10014A5
-:0400630000E20014A3
-:0400640000E30014A1
-:0400650000E400149F
-:0400660000E500149D
-:0400670000E600149B
-:0400680000E7001499
-:0400690000E8001497
-:04006A0000E9001495
-:04006B0000EA001493
-:04006C0000EB001590
-:04006D0000EC00158E
-:04006E0000ED00158C
-:04006F0000EE00158A
-:0400700000EF001588
-:0400710000F0001586
-:0400720000F1001584
-:0400730000F2001582
-:0400740000F3001580
-:0400750000F400157E
-:0400760000F500157C
-:0400770000F6001679
-:0400780000F7001677
-:0400790000F8001675
-:04007A0000F9001673
-:04007B0000FA001671
-:04007C0000FB00166F
-:04007D0000FC00166D
-:04007E0000FD00166B
-:04007F0000FE001669
-:0400800000BF0011AC
-:0400810000C00011AA
-:0400820000C10011A8
-:0400830000C20011A6
-:0400840000C30011A4
-:0400850000C40011A2
-:0400860000C50011A0
-:0400870000C600119E
-:0400880000C700119C
-:0400890000C8001299
-:04008A0000C9001297
-:04008B0000CA001295
-:04008C0000CB001293
-:04008D0000CC001291
-:04008E0000CD00128F
-:04008F0000CE00128D
-:0400900000CF00128B
-:0400910000D0001289
-:0400920000D1001287
-:0400930000D2001285
-:0400940000D3001283
-:0400950000D4001380
-:0400960000D500137E
-:0400970000D600137C
-:0400980000D700137A
-:0400990000D8001378
-:04009A0000D9001376
-:04009B0000DA001374
-:04009C0000DB001372
-:04009D0000DC001370
-:04009E0000DD00136E
-:04009F0000DE00136C
-:0400A00000DF001469
-:0400A10000E0001467
-:0400A20000E1001465
-:0400A30000E2001463
-:0400A40000E3001461
-:0400A50000E400145F
-:0400A60000E500145D
-:0400A70000E600145B
-:0400A80000E7001459
-:0400A90000E8001457
-:0400AA0000E9001455
-:0400AB0000EA001453
-:0400AC0000EB001550
-:0400AD0000EC00154E
-:0400AE0000ED00154C
-:0400AF0000EE00154A
-:0400B00000EF001548
-:0400B10000F0001546
-:0400B20000F1001544
-:0400B30000F2001542
-:0400B40000F3001540
-:0400B50000F400153E
-:0400B60000F500153C
-:0400B70000F6001639
-:0400B80000F7001637
-:0400B90000F8001635
-:0400BA0000F9001633
-:0400BB0000FA001631
-:0400BC0000FB00162F
-:0400BD0000FC00162D
-:0400BE0000FD00162B
-:0400BF0000FE001629
-:0400C00000BF00116C
-:0400C10000C000116A
-:0400C20000C1001168
-:0400C30000C2001166
-:0400C40000C3001164
-:0400C50000C4001162
-:0400C60000C5001160
-:0400C70000C600115E
-:0400C80000C700115C
-:0400C90000C8001259
-:0400CA0000C9001257
-:0400CB0000CA001255
-:0400CC0000CB001253
-:0400CD0000CC001251
-:0400CE0000CD00124F
-:0400CF0000CE00124D
-:0400D00000CF00124B
-:0400D10000D0001249
-:0400D20000D1001247
-:0400D30000D2001245
-:0400D40000D3001243
-:0400D50000D4001340
-:0400D60000D500133E
-:0400D70000D600133C
-:0400D80000D700133A
-:0400D90000D8001338
-:0400DA0000D9001336
-:0400DB0000DA001334
-:0400DC0000DB001332
-:0400DD0000DC001330
-:0400DE0000DD00132E
-:0400DF0000DE00132C
-:0400E00000DF001429
-:0400E10000E0001427
-:0400E20000E1001425
-:0400E30000E2001423
-:0400E40000E3001421
-:0400E50000E400141F
-:0400E60000E500141D
-:0400E70000E600141B
-:0400E80000E7001419
-:0400E90000E8001417
-:0400EA0000E9001415
-:0400EB0000EA001413
-:0400EC0000EB001510
-:0400ED0000EC00150E
-:0400EE0000ED00150C
-:0400EF0000EE00150A
-:0400F00000EF001508
-:0400F10000F0001506
-:0400F20000F1001504
-:0400F30000F2001502
-:0400F40000F3001500
-:0400F50000F40015FE
-:0400F60000F50015FC
-:0400F70000F60016F9
-:0400F80000F70016F7
-:0400F90000F80016F5
-:0400FA0000F90016F3
-:0400FB0000FA0016F1
-:0400FC0000FB0016EF
-:0400FD0000FC0016ED
-:0400FE0000FD0016EB
-:0400FF0000FE0016E9
-:0401000000BF00112B
-:0401010000C0001129
-:0401020000C1001127
-:0401030000C2001125
-:0401040000C3001123
-:0401050000C4001121
-:0401060000C500111F
-:0401070000C600111D
-:0401080000C700111B
-:0401090000C8001218
-:04010A0000C9001216
-:04010B0000CA001214
-:04010C0000CB001212
-:04010D0000CC001210
-:04010E0000CD00120E
-:04010F0000CE00120C
-:0401100000CF00120A
-:0401110000D0001208
-:0401120000D1001206
-:0401130000D2001204
-:0401140000D3001202
-:0401150000D40013FF
-:0401160000D50013FD
-:0401170000D60013FB
-:0401180000D70013F9
-:0401190000D80013F7
-:04011A0000D90013F5
-:04011B0000DA0013F3
-:04011C0000DB0013F1
-:04011D0000DC0013EF
-:04011E0000DD0013ED
-:04011F0000DE0013EB
-:0401200000DF0014E8
-:0401210000E00014E6
-:0401220000E10014E4
-:0401230000E20014E2
-:0401240000E30014E0
-:0401250000E40014DE
-:0401260000E50014DC
-:0401270000E60014DA
-:0401280000E70014D8
-:0401290000E80014D6
-:04012A0000E90014D4
-:04012B0000EA0014D2
-:04012C0000EB0015CF
-:04012D0000EC0015CD
-:04012E0000ED0015CB
-:04012F0000EE0015C9
-:0401300000EF0015C7
-:0401310000F00015C5
-:0401320000F10015C3
-:0401330000F20015C1
-:0401340000F30015BF
-:0401350000F40015BD
-:0401360000F50015BB
-:0401370000F60016B8
-:0401380000F70016B6
-:0401390000F80016B4
-:04013A0000F90016B2
-:04013B0000FA0016B0
-:04013C0000FB0016AE
-:04013D0000FC0016AC
-:04013E0000FD0016AA
-:04013F0000FE0016A8
-:0401400000BF0011EB
-:0401410000C00011E9
-:0401420000C10011E7
-:0401430000C20011E5
-:0401440000C30011E3
-:0401450000C40011E1
-:0401460000C50011DF
-:0401470000C60011DD
-:0401480000C70011DB
-:0401490000C80012D8
-:04014A0000C90012D6
-:04014B0000CA0012D4
-:04014C0000CB0012D2
-:04014D0000CC0012D0
-:04014E0000CD0012CE
-:04014F0000CE0012CC
-:0401500000CF0012CA
-:0401510000D00012C8
-:0401520000D10012C6
-:0401530000D20012C4
-:0401540000D30012C2
-:0401550000D40013BF
-:0401560000D50013BD
-:0401570000D60013BB
-:0401580000D70013B9
-:0401590000D80013B7
-:04015A0000D90013B5
-:04015B0000DA0013B3
-:04015C0000DB0013B1
-:04015D0000DC0013AF
-:04015E0000DD0013AD
-:04015F0000DE0013AB
-:0401600000DF0014A8
-:0401610000E00014A6
-:0401620000E10014A4
-:0401630000E20014A2
-:0401640000E30014A0
-:0401650000E400149E
-:0401660000E500149C
-:0401670000E600149A
-:0401680000E7001498
-:0401690000E8001496
-:04016A0000E9001494
-:04016B0000EA001492
-:04016C0000EB00158F
-:04016D0000EC00158D
-:04016E0000ED00158B
-:04016F0000EE001589
-:0401700000EF001587
-:0401710000F0001585
-:0401720000F1001583
-:0401730000F2001581
-:0401740000F300157F
-:0401750000F400157D
-:0401760000F500157B
-:0401770000F6001678
-:0401780000F7001676
-:0401790000F8001674
-:04017A0000F9001672
-:04017B0000FA001670
-:04017C0000FB00166E
-:04017D0000FC00166C
-:04017E0000FD00166A
-:04017F0000FE001668
-:0401800000BF0011AB
-:0401810000C00011A9
-:0401820000C10011A7
-:0401830000C20011A5
-:0401840000C30011A3
-:0401850000C40011A1
-:0401860000C500119F
-:0401870000C600119D
-:0401880000C700119B
-:0401890000C8001298
-:04018A0000C9001296
-:04018B0000CA001294
-:04018C0000CB001292
-:04018D0000CC001290
-:04018E0000CD00128E
-:04018F0000CE00128C
-:0401900000CF00128A
-:0401910000D0001288
-:0401920000D1001286
-:0401930000D2001284
-:0401940000D3001282
-:0401950000D400137F
-:0401960000D500137D
-:0401970000D600137B
-:0401980000D7001379
-:0401990000D8001377
-:04019A0000D9001375
-:04019B0000DA001373
-:04019C0000DB001371
-:04019D0000DC00136F
-:04019E0000DD00136D
-:04019F0000DE00136B
-:0401A00000DF001468
-:0401A10000E0001466
-:0401A20000E1001464
-:0401A30000E2001462
-:0401A40000E3001460
-:0401A50000E400145E
-:0401A60000E500145C
-:0401A70000E600145A
-:0401A80000E7001458
-:0401A90000E8001456
-:0401AA0000E9001454
-:0401AB0000EA001452
-:0401AC0000EB00154F
-:0401AD0000EC00154D
-:0401AE0000ED00154B
-:0401AF0000EE001549
-:0401B00000EF001547
-:0401B10000F0001545
-:0401B20000F1001543
-:0401B30000F2001541
-:0401B40000F300153F
-:0401B50000F400153D
-:0401B60000F500153B
-:0401B70000F6001638
-:0401B80000F7001636
-:0401B90000F8001634
-:0401BA0000F9001632
-:0401BB0000FA001630
-:0401BC0000FB00162E
-:0401BD0000FC00162C
-:0401BE0000FD00162A
-:0401BF0000FE001628
-:0401C00000BF00116B
-:0401C10000C0001169
-:0401C20000C1001167
-:0401C30000C2001165
-:0401C40000C3001163
-:0401C50000C4001161
-:0401C60000C500115F
-:0401C70000C600115D
-:0401C80000C700115B
-:0401C90000C8001258
-:0401CA0000C9001256
-:0401CB0000CA001254
-:0401CC0000CB001252
-:0401CD0000CC001250
-:0401CE0000CD00124E
-:0401CF0000CE00124C
-:0401D00000CF00124A
-:0401D10000D0001248
-:0401D20000D1001246
-:0401D30000D2001244
-:0401D40000D3001242
-:0401D50000D400133F
-:0401D60000D500133D
-:0401D70000D600133B
-:0401D80000D7001339
-:0401D90000D8001337
-:0401DA0000D9001335
-:0401DB0000DA001333
-:0401DC0000DB001331
-:0401DD0000DC00132F
-:0401DE0000DD00132D
-:0401DF0000DE00132B
-:0401E00000DF001428
-:0401E10000E0001426
-:0401E20000E1001424
-:0401E30000E2001422
-:0401E40000E3001420
-:0401E50000E400141E
-:0401E60000E500141C
-:0401E70000E600141A
-:0401E80000E7001418
-:0401E90000E8001416
-:0401EA0000E9001414
-:0401EB0000EA001412
-:0401EC0000EB00150F
-:0401ED0000EC00150D
-:0401EE0000ED00150B
-:0401EF0000EE001509
-:0401F00000EF001507
-:0401F10000F0001505
-:0401F20000F1001503
-:0401F30000F2001501
-:0401F40000F30015FF
-:0401F50000F40015FD
-:0401F60000F50015FB
-:0401F70000F60016F8
-:0401F80000F70016F6
-:0401F90000F80016F4
-:0401FA0000F90016F2
-:0401FB0000FA0016F0
-:0401FC0000FB0016EE
-:0401FD0000FC0016EC
-:0401FE0000FD0016EA
-:0401FF0000FE0016E8
-:0402000000BF00112A
-:0402010000C0001128
-:0402020000C1001126
-:0402030000C2001124
-:0402040000C3001122
-:0402050000C4001120
-:0402060000C500111E
-:0402070000C600111C
-:0402080000C700111A
-:0402090000C8001217
-:04020A0000C9001215
-:04020B0000CA001213
-:04020C0000CB001211
-:04020D0000CC00120F
-:04020E0000CD00120D
-:04020F0000CE00120B
-:0402100000CF001209
-:0402110000D0001207
-:0402120000D1001205
-:0402130000D2001203
-:0402140000D3001201
-:0402150000D40013FE
-:0402160000D50013FC
-:0402170000D60013FA
-:0402180000D70013F8
-:0402190000D80013F6
-:04021A0000D90013F4
-:04021B0000DA0013F2
-:04021C0000DB0013F0
-:04021D0000DC0013EE
-:04021E0000DD0013EC
-:04021F0000DE0013EA
-:0402200000DF0014E7
-:0402210000E00014E5
-:0402220000E10014E3
-:0402230000E20014E1
-:0402240000E30014DF
-:0402250000E40014DD
-:0402260000E50014DB
-:0402270000E60014D9
-:0402280000E70014D7
-:0402290000E80014D5
-:04022A0000E90014D3
-:04022B0000EA0014D1
-:04022C0000EB0015CE
-:04022D0000EC0015CC
-:04022E0000ED0015CA
-:04022F0000EE0015C8
-:0402300000EF0015C6
-:0402310000F00015C4
-:0402320000F10015C2
-:0402330000F20015C0
-:0402340000F30015BE
-:0402350000F40015BC
-:0402360000F50015BA
-:0402370000F60016B7
-:0402380000F70016B5
-:0402390000F80016B3
-:04023A0000F90016B1
-:04023B0000FA0016AF
-:04023C0000FB0016AD
-:04023D0000FC0016AB
-:04023E0000FD0016A9
-:04023F0000FE0016A7
-:0402400000BF0011EA
-:0402410000C00011E8
-:0402420000C10011E6
-:0402430000C20011E4
-:0402440000C30011E2
-:0402450000C40011E0
-:0402460000C50011DE
-:0402470000C60011DC
-:0402480000C70011DA
-:0402490000C80012D7
-:04024A0000C90012D5
-:04024B0000CA0012D3
-:04024C0000CB0012D1
-:04024D0000CC0012CF
-:04024E0000CD0012CD
-:04024F0000CE0012CB
-:0402500000CF0012C9
-:0402510000D00012C7
-:0402520000D10012C5
-:0402530000D20012C3
-:0402540000D30012C1
-:0402550000D40013BE
-:0402560000D50013BC
-:0402570000D60013BA
-:0402580000D70013B8
-:0402590000D80013B6
-:04025A0000D90013B4
-:04025B0000DA0013B2
-:04025C0000DB0013B0
-:04025D0000DC0013AE
-:04025E0000DD0013AC
-:04025F0000DE0013AA
-:0402600000DF0014A7
-:0402610000E00014A5
-:0402620000E10014A3
-:0402630000E20014A1
-:0402640000E300149F
-:0402650000E400149D
-:0402660000E500149B
-:0402670000E6001499
-:0402680000E7001497
-:0402690000E8001495
-:04026A0000E9001493
-:04026B0000EA001491
-:04026C0000EB00158E
-:04026D0000EC00158C
-:04026E0000ED00158A
-:04026F0000EE001588
-:0402700000EF001586
-:0402710000F0001584
-:0402720000F1001582
-:0402730000F2001580
-:0402740000F300157E
-:0402750000F400157C
-:0402760000F500157A
-:0402770000F6001677
-:0402780000F7001675
-:0402790000F8001673
-:04027A0000F9001671
-:04027B0000FA00166F
-:04027C0000FB00166D
-:04027D0000FC00166B
-:04027E0000FD001669
-:04027F0000FE001667
-:0402800000BF0011AA
-:0402810000C00011A8
-:0402820000C10011A6
-:0402830000C20011A4
-:0402840000C30011A2
-:0402850000C40011A0
-:0402860000C500119E
-:0402870000C600119C
-:0402880000C700119A
-:0402890000C8001297
-:04028A0000C9001295
-:04028B0000CA001293
-:04028C0000CB001291
-:04028D0000CC00128F
-:04028E0000CD00128D
-:04028F0000CE00128B
-:0402900000CF001289
-:0402910000D0001287
-:0402920000D1001285
-:0402930000D2001283
-:0402940000D3001281
-:0402950000D400137E
-:0402960000D500137C
-:0402970000D600137A
-:0402980000D7001378
-:0402990000D8001376
-:04029A0000D9001374
-:04029B0000DA001372
-:04029C0000DB001370
-:04029D0000DC00136E
-:04029E0000DD00136C
-:04029F0000DE00136A
-:0402A00000DF001467
-:0402A10000E0001465
-:0402A20000E1001463
-:0402A30000E2001461
-:0402A40000E300145F
-:0402A50000E400145D
-:0402A60000E500145B
-:0402A70000E6001459
-:0402A80000E7001457
-:0402A90000E8001455
-:0402AA0000E9001453
-:0402AB0000EA001451
-:0402AC0000EB00154E
-:0402AD0000EC00154C
-:0402AE0000ED00154A
-:0402AF0000EE001548
-:0402B00000EF001546
-:0402B10000F0001544
-:0402B20000F1001542
-:0402B30000F2001540
-:0402B40000F300153E
-:0402B50000F400153C
-:0402B60000F500153A
-:0402B70000F6001637
-:0402B80000F7001635
-:0402B90000F8001633
-:0402BA0000F9001631
-:0402BB0000FA00162F
-:0402BC0000FB00162D
-:0402BD0000FC00162B
-:0402BE0000FD001629
-:0402BF0000FE001627
-:0402C00000BF00116A
-:0402C10000C0001168
-:0402C20000C1001166
-:0402C30000C2001164
-:0402C40000C3001162
-:0402C50000C4001160
-:0402C60000C500115E
-:0402C70000C600115C
-:0402C80000C700115A
-:0402C90000C8001257
-:0402CA0000C9001255
-:0402CB0000CA001253
-:0402CC0000CB001251
-:0402CD0000CC00124F
-:0402CE0000CD00124D
-:0402CF0000CE00124B
-:0402D00000CF001249
-:0402D10000D0001247
-:0402D20000D1001245
-:0402D30000D2001243
-:0402D40000D3001241
-:0402D50000D400133E
-:0402D60000D500133C
-:0402D70000D600133A
-:0402D80000D7001338
-:0402D90000D8001336
-:0402DA0000D9001334
-:0402DB0000DA001332
-:0402DC0000DB001330
-:0402DD0000DC00132E
-:0402DE0000DD00132C
-:0402DF0000DE00132A
-:0402E00000DF001427
-:0402E10000E0001425
-:0402E20000E1001423
-:0402E30000E2001421
-:0402E40000E300141F
-:0402E50000E400141D
-:0402E60000E500141B
-:0402E70000E6001419
-:0402E80000E7001417
-:0402E90000E8001415
-:0402EA0000E9001413
-:0402EB0000EA001411
-:0402EC0000EB00150E
-:0402ED0000EC00150C
-:0402EE0000ED00150A
-:0402EF0000EE001508
-:0402F00000EF001506
-:0402F10000F0001504
-:0402F20000F1001502
-:0402F30000F2001500
-:0402F40000F30015FE
-:0402F50000F40015FC
-:0402F60000F50015FA
-:0402F70000F60016F7
-:0402F80000F70016F5
-:0402F90000F80016F3
-:0402FA0000F90016F1
-:0402FB0000FA0016EF
-:0402FC0000FB0016ED
-:0402FD0000FC0016EB
-:0402FE0000FD0016E9
-:0402FF0000FE0016E7
-:0403000000BF001129
-:0403010000C0001127
-:0403020000C1001125
-:0403030000C2001123
-:0403040000C3001121
-:0403050000C400111F
-:0403060000C500111D
-:0403070000C600111B
-:0403080000C7001119
-:0403090000C8001216
-:04030A0000C9001214
-:04030B0000CA001212
-:04030C0000CB001210
-:04030D0000CC00120E
-:04030E0000CD00120C
-:04030F0000CE00120A
-:0403100000CF001208
-:0403110000D0001206
-:0403120000D1001204
-:0403130000D2001202
-:0403140000D3001200
-:0403150000D40013FD
-:0403160000D50013FB
-:0403170000D60013F9
-:0403180000D70013F7
-:0403190000D80013F5
-:04031A0000D90013F3
-:04031B0000DA0013F1
-:04031C0000DB0013EF
-:04031D0000DC0013ED
-:04031E0000DD0013EB
-:04031F0000DE0013E9
-:0403200000DF0014E6
-:0403210000E00014E4
-:0403220000E10014E2
-:0403230000E20014E0
-:0403240000E30014DE
-:0403250000E40014DC
-:0403260000E50014DA
-:0403270000E60014D8
-:0403280000E70014D6
-:0403290000E80014D4
-:04032A0000E90014D2
-:04032B0000EA0014D0
-:04032C0000EB0015CD
-:04032D0000EC0015CB
-:04032E0000ED0015C9
-:04032F0000EE0015C7
-:0403300000EF0015C5
-:0403310000F00015C3
-:0403320000F10015C1
-:0403330000F20015BF
-:0403340000F30015BD
-:0403350000F40015BB
-:0403360000F50015B9
-:0403370000F60016B6
-:0403380000F70016B4
-:0403390000F80016B2
-:04033A0000F90016B0
-:04033B0000FA0016AE
-:04033C0000FB0016AC
-:04033D0000FC0016AA
-:04033E0000FD0016A8
-:04033F0000FE0016A6
-:0403400000BF0011E9
-:0403410000C00011E7
-:0403420000C10011E5
-:0403430000C20011E3
-:0403440000C30011E1
-:0403450000C40011DF
-:0403460000C50011DD
-:0403470000C60011DB
-:0403480000C70011D9
-:0403490000C80012D6
-:04034A0000C90012D4
-:04034B0000CA0012D2
-:04034C0000CB0012D0
-:04034D0000CC0012CE
-:04034E0000CD0012CC
-:04034F0000CE0012CA
-:0403500000CF0012C8
-:0403510000D00012C6
-:0403520000D10012C4
-:0403530000D20012C2
-:0403540000D30012C0
-:0403550000D40013BD
-:0403560000D50013BB
-:0403570000D60013B9
-:0403580000D70013B7
-:0403590000D80013B5
-:04035A0000D90013B3
-:04035B0000DA0013B1
-:04035C0000DB0013AF
-:04035D0000DC0013AD
-:04035E0000DD0013AB
-:04035F0000DE0013A9
-:0403600000DF0014A6
-:0403610000E00014A4
-:0403620000E10014A2
-:0403630000E20014A0
-:0403640000E300149E
-:0403650000E400149C
-:0403660000E500149A
-:0403670000E6001498
-:0403680000E7001496
-:0403690000E8001494
-:04036A0000E9001492
-:04036B0000EA001490
-:04036C0000EB00158D
-:04036D0000EC00158B
-:04036E0000ED001589
-:04036F0000EE001587
-:0403700000EF001585
-:0403710000F0001583
-:0403720000F1001581
-:0403730000F200157F
-:0403740000F300157D
-:0403750000F400157B
-:0403760000F5001579
-:0403770000F6001676
-:0403780000F7001674
-:0403790000F8001672
-:04037A0000F9001670
-:04037B0000FA00166E
-:04037C0000FB00166C
-:04037D0000FC00166A
-:04037E0000FD001668
-:04037F0000FE001666
-:0403800000BF0011A9
-:0403810000C00011A7
-:0403820000C10011A5
-:0403830000C20011A3
-:0403840000C30011A1
-:0403850000C400119F
-:0403860000C500119D
-:0403870000C600119B
-:0403880000C7001199
-:0403890000C8001296
-:04038A0000C9001294
-:04038B0000CA001292
-:04038C0000CB001290
-:04038D0000CC00128E
-:04038E0000CD00128C
-:04038F0000CE00128A
-:0403900000CF001288
-:0403910000D0001286
-:0403920000D1001284
-:0403930000D2001282
-:0403940000D3001280
-:0403950000D400137D
-:0403960000D500137B
-:0403970000D6001379
-:0403980000D7001377
-:0403990000D8001375
-:04039A0000D9001373
-:04039B0000DA001371
-:04039C0000DB00136F
-:04039D0000DC00136D
-:04039E0000DD00136B
-:04039F0000DE001369
-:0403A00000DF001466
-:0403A10000E0001464
-:0403A20000E1001462
-:0403A30000E2001460
-:0403A40000E300145E
-:0403A50000E400145C
-:0403A60000E500145A
-:0403A70000E6001458
-:0403A80000E7001456
-:0403A90000E8001454
-:0403AA0000E9001452
-:0403AB0000EA001450
-:0403AC0000EB00154D
-:0403AD0000EC00154B
-:0403AE0000ED001549
-:0403AF0000EE001547
-:0403B00000EF001545
-:0403B10000F0001543
-:0403B20000F1001541
-:0403B30000F200153F
-:0403B40000F300153D
-:0403B50000F400153B
-:0403B60000F5001539
-:0403B70000F6001636
-:0403B80000F7001634
-:0403B90000F8001632
-:0403BA0000F9001630
-:0403BB0000FA00162E
-:0403BC0000FB00162C
-:0403BD0000FC00162A
-:0403BE0000FD001628
-:0403BF0000FE001626
-:0403C00000BF001169
-:0403C10000C0001167
-:0403C20000C1001165
-:0403C30000C2001163
-:0403C40000C3001161
-:0403C50000C400115F
-:0403C60000C500115D
-:0403C70000C600115B
-:0403C80000C7001159
-:0403C90000C8001256
-:0403CA0000C9001254
-:0403CB0000CA001252
-:0403CC0000CB001250
-:0403CD0000CC00124E
-:0403CE0000CD00124C
-:0403CF0000CE00124A
-:0403D00000CF001248
-:0403D10000D0001246
-:0403D20000D1001244
-:0403D30000D2001242
-:0403D40000D3001240
-:0403D50000D400133D
-:0403D60000D500133B
-:0403D70000D6001339
-:0403D80000D7001337
-:0403D90000D8001335
-:0403DA0000D9001333
-:0403DB0000DA001331
-:0403DC0000DB00132F
-:0403DD0000DC00132D
-:0403DE0000DD00132B
-:0403DF0000DE001329
-:0403E00000DF001426
-:0403E10000E0001424
-:0403E20000E1001422
-:0403E30000E2001420
-:0403E40000E300141E
-:0403E50000E400141C
-:0403E60000E500141A
-:0403E70000E6001418
-:0403E80000E7001416
-:0403E90000E8001414
-:0403EA0000E9001412
-:0403EB0000EA001410
-:0403EC0000EB00150D
-:0403ED0000EC00150B
-:0403EE0000ED001509
-:0403EF0000EE001507
-:0403F00000EF001505
-:0403F10000F0001503
-:0403F20000F1001501
-:0403F30000F20015FF
-:0403F40000F30015FD
-:0403F50000F40015FB
-:0403F60000F50015F9
-:0403F70000F60016F6
-:0403F80000F70016F4
-:0403F90000F80016F2
-:0403FA0000F90016F0
-:0403FB0000FA0016EE
-:0403FC0000FB0016EC
-:0403FD0000FC0016EA
-:0403FE0000FD0016E8
-:0403FF0000FE0016E6
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_5.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_5.hex
index adab8fb1d2c364e31b83bff40e50a055f8c2164d..007bf78f7cb2c49c7518daf42f50e10a7e398868 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_5.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_5.hex
@@ -62,964 +62,4 @@
 :04003D0000FC001AA9
 :04003E0000FD001BA6
 :04003F0000FE001BA4
-:0400400000BF0014E9
-:0400410000C00014E7
-:0400420000C10014E5
-:0400430000C20014E3
-:0400440000C30014E1
-:0400450000C40015DE
-:0400460000C50015DC
-:0400470000C60015DA
-:0400480000C70015D8
-:0400490000C80015D6
-:04004A0000C90015D4
-:04004B0000CA0015D2
-:04004C0000CB0015D0
-:04004D0000CC0015CE
-:04004E0000CD0016CB
-:04004F0000CE0016C9
-:0400500000CF0016C7
-:0400510000D00016C5
-:0400520000D10016C3
-:0400530000D20016C1
-:0400540000D30016BF
-:0400550000D40016BD
-:0400560000D50016BB
-:0400570000D60016B9
-:0400580000D70017B6
-:0400590000D80017B4
-:04005A0000D90017B2
-:04005B0000DA0017B0
-:04005C0000DB0017AE
-:04005D0000DC0017AC
-:04005E0000DD0017AA
-:04005F0000DE0017A8
-:0400600000DF0017A6
-:0400610000E00018A3
-:0400620000E10018A1
-:0400630000E200189F
-:0400640000E300189D
-:0400650000E400189B
-:0400660000E5001899
-:0400670000E6001897
-:0400680000E7001895
-:0400690000E8001893
-:04006A0000E9001891
-:04006B0000EA00198E
-:04006C0000EB00198C
-:04006D0000EC00198A
-:04006E0000ED001988
-:04006F0000EE001986
-:0400700000EF001984
-:0400710000F0001982
-:0400720000F1001980
-:0400730000F200197E
-:0400740000F3001A7B
-:0400750000F4001A79
-:0400760000F5001A77
-:0400770000F6001A75
-:0400780000F7001A73
-:0400790000F8001A71
-:04007A0000F9001A6F
-:04007B0000FA001A6D
-:04007C0000FB001A6B
-:04007D0000FC001A69
-:04007E0000FD001B66
-:04007F0000FE001B64
-:0400800000BF0014A9
-:0400810000C00014A7
-:0400820000C10014A5
-:0400830000C20014A3
-:0400840000C30014A1
-:0400850000C400159E
-:0400860000C500159C
-:0400870000C600159A
-:0400880000C7001598
-:0400890000C8001596
-:04008A0000C9001594
-:04008B0000CA001592
-:04008C0000CB001590
-:04008D0000CC00158E
-:04008E0000CD00168B
-:04008F0000CE001689
-:0400900000CF001687
-:0400910000D0001685
-:0400920000D1001683
-:0400930000D2001681
-:0400940000D300167F
-:0400950000D400167D
-:0400960000D500167B
-:0400970000D6001679
-:0400980000D7001776
-:0400990000D8001774
-:04009A0000D9001772
-:04009B0000DA001770
-:04009C0000DB00176E
-:04009D0000DC00176C
-:04009E0000DD00176A
-:04009F0000DE001768
-:0400A00000DF001766
-:0400A10000E0001863
-:0400A20000E1001861
-:0400A30000E200185F
-:0400A40000E300185D
-:0400A50000E400185B
-:0400A60000E5001859
-:0400A70000E6001857
-:0400A80000E7001855
-:0400A90000E8001853
-:0400AA0000E9001851
-:0400AB0000EA00194E
-:0400AC0000EB00194C
-:0400AD0000EC00194A
-:0400AE0000ED001948
-:0400AF0000EE001946
-:0400B00000EF001944
-:0400B10000F0001942
-:0400B20000F1001940
-:0400B30000F200193E
-:0400B40000F3001A3B
-:0400B50000F4001A39
-:0400B60000F5001A37
-:0400B70000F6001A35
-:0400B80000F7001A33
-:0400B90000F8001A31
-:0400BA0000F9001A2F
-:0400BB0000FA001A2D
-:0400BC0000FB001A2B
-:0400BD0000FC001A29
-:0400BE0000FD001B26
-:0400BF0000FE001B24
-:0400C00000BF001469
-:0400C10000C0001467
-:0400C20000C1001465
-:0400C30000C2001463
-:0400C40000C3001461
-:0400C50000C400155E
-:0400C60000C500155C
-:0400C70000C600155A
-:0400C80000C7001558
-:0400C90000C8001556
-:0400CA0000C9001554
-:0400CB0000CA001552
-:0400CC0000CB001550
-:0400CD0000CC00154E
-:0400CE0000CD00164B
-:0400CF0000CE001649
-:0400D00000CF001647
-:0400D10000D0001645
-:0400D20000D1001643
-:0400D30000D2001641
-:0400D40000D300163F
-:0400D50000D400163D
-:0400D60000D500163B
-:0400D70000D6001639
-:0400D80000D7001736
-:0400D90000D8001734
-:0400DA0000D9001732
-:0400DB0000DA001730
-:0400DC0000DB00172E
-:0400DD0000DC00172C
-:0400DE0000DD00172A
-:0400DF0000DE001728
-:0400E00000DF001726
-:0400E10000E0001823
-:0400E20000E1001821
-:0400E30000E200181F
-:0400E40000E300181D
-:0400E50000E400181B
-:0400E60000E5001819
-:0400E70000E6001817
-:0400E80000E7001815
-:0400E90000E8001813
-:0400EA0000E9001811
-:0400EB0000EA00190E
-:0400EC0000EB00190C
-:0400ED0000EC00190A
-:0400EE0000ED001908
-:0400EF0000EE001906
-:0400F00000EF001904
-:0400F10000F0001902
-:0400F20000F1001900
-:0400F30000F20019FE
-:0400F40000F3001AFB
-:0400F50000F4001AF9
-:0400F60000F5001AF7
-:0400F70000F6001AF5
-:0400F80000F7001AF3
-:0400F90000F8001AF1
-:0400FA0000F9001AEF
-:0400FB0000FA001AED
-:0400FC0000FB001AEB
-:0400FD0000FC001AE9
-:0400FE0000FD001BE6
-:0400FF0000FE001BE4
-:0401000000BF001428
-:0401010000C0001426
-:0401020000C1001424
-:0401030000C2001422
-:0401040000C3001420
-:0401050000C400151D
-:0401060000C500151B
-:0401070000C6001519
-:0401080000C7001517
-:0401090000C8001515
-:04010A0000C9001513
-:04010B0000CA001511
-:04010C0000CB00150F
-:04010D0000CC00150D
-:04010E0000CD00160A
-:04010F0000CE001608
-:0401100000CF001606
-:0401110000D0001604
-:0401120000D1001602
-:0401130000D2001600
-:0401140000D30016FE
-:0401150000D40016FC
-:0401160000D50016FA
-:0401170000D60016F8
-:0401180000D70017F5
-:0401190000D80017F3
-:04011A0000D90017F1
-:04011B0000DA0017EF
-:04011C0000DB0017ED
-:04011D0000DC0017EB
-:04011E0000DD0017E9
-:04011F0000DE0017E7
-:0401200000DF0017E5
-:0401210000E00018E2
-:0401220000E10018E0
-:0401230000E20018DE
-:0401240000E30018DC
-:0401250000E40018DA
-:0401260000E50018D8
-:0401270000E60018D6
-:0401280000E70018D4
-:0401290000E80018D2
-:04012A0000E90018D0
-:04012B0000EA0019CD
-:04012C0000EB0019CB
-:04012D0000EC0019C9
-:04012E0000ED0019C7
-:04012F0000EE0019C5
-:0401300000EF0019C3
-:0401310000F00019C1
-:0401320000F10019BF
-:0401330000F20019BD
-:0401340000F3001ABA
-:0401350000F4001AB8
-:0401360000F5001AB6
-:0401370000F6001AB4
-:0401380000F7001AB2
-:0401390000F8001AB0
-:04013A0000F9001AAE
-:04013B0000FA001AAC
-:04013C0000FB001AAA
-:04013D0000FC001AA8
-:04013E0000FD001BA5
-:04013F0000FE001BA3
-:0401400000BF0014E8
-:0401410000C00014E6
-:0401420000C10014E4
-:0401430000C20014E2
-:0401440000C30014E0
-:0401450000C40015DD
-:0401460000C50015DB
-:0401470000C60015D9
-:0401480000C70015D7
-:0401490000C80015D5
-:04014A0000C90015D3
-:04014B0000CA0015D1
-:04014C0000CB0015CF
-:04014D0000CC0015CD
-:04014E0000CD0016CA
-:04014F0000CE0016C8
-:0401500000CF0016C6
-:0401510000D00016C4
-:0401520000D10016C2
-:0401530000D20016C0
-:0401540000D30016BE
-:0401550000D40016BC
-:0401560000D50016BA
-:0401570000D60016B8
-:0401580000D70017B5
-:0401590000D80017B3
-:04015A0000D90017B1
-:04015B0000DA0017AF
-:04015C0000DB0017AD
-:04015D0000DC0017AB
-:04015E0000DD0017A9
-:04015F0000DE0017A7
-:0401600000DF0017A5
-:0401610000E00018A2
-:0401620000E10018A0
-:0401630000E200189E
-:0401640000E300189C
-:0401650000E400189A
-:0401660000E5001898
-:0401670000E6001896
-:0401680000E7001894
-:0401690000E8001892
-:04016A0000E9001890
-:04016B0000EA00198D
-:04016C0000EB00198B
-:04016D0000EC001989
-:04016E0000ED001987
-:04016F0000EE001985
-:0401700000EF001983
-:0401710000F0001981
-:0401720000F100197F
-:0401730000F200197D
-:0401740000F3001A7A
-:0401750000F4001A78
-:0401760000F5001A76
-:0401770000F6001A74
-:0401780000F7001A72
-:0401790000F8001A70
-:04017A0000F9001A6E
-:04017B0000FA001A6C
-:04017C0000FB001A6A
-:04017D0000FC001A68
-:04017E0000FD001B65
-:04017F0000FE001B63
-:0401800000BF0014A8
-:0401810000C00014A6
-:0401820000C10014A4
-:0401830000C20014A2
-:0401840000C30014A0
-:0401850000C400159D
-:0401860000C500159B
-:0401870000C6001599
-:0401880000C7001597
-:0401890000C8001595
-:04018A0000C9001593
-:04018B0000CA001591
-:04018C0000CB00158F
-:04018D0000CC00158D
-:04018E0000CD00168A
-:04018F0000CE001688
-:0401900000CF001686
-:0401910000D0001684
-:0401920000D1001682
-:0401930000D2001680
-:0401940000D300167E
-:0401950000D400167C
-:0401960000D500167A
-:0401970000D6001678
-:0401980000D7001775
-:0401990000D8001773
-:04019A0000D9001771
-:04019B0000DA00176F
-:04019C0000DB00176D
-:04019D0000DC00176B
-:04019E0000DD001769
-:04019F0000DE001767
-:0401A00000DF001765
-:0401A10000E0001862
-:0401A20000E1001860
-:0401A30000E200185E
-:0401A40000E300185C
-:0401A50000E400185A
-:0401A60000E5001858
-:0401A70000E6001856
-:0401A80000E7001854
-:0401A90000E8001852
-:0401AA0000E9001850
-:0401AB0000EA00194D
-:0401AC0000EB00194B
-:0401AD0000EC001949
-:0401AE0000ED001947
-:0401AF0000EE001945
-:0401B00000EF001943
-:0401B10000F0001941
-:0401B20000F100193F
-:0401B30000F200193D
-:0401B40000F3001A3A
-:0401B50000F4001A38
-:0401B60000F5001A36
-:0401B70000F6001A34
-:0401B80000F7001A32
-:0401B90000F8001A30
-:0401BA0000F9001A2E
-:0401BB0000FA001A2C
-:0401BC0000FB001A2A
-:0401BD0000FC001A28
-:0401BE0000FD001B25
-:0401BF0000FE001B23
-:0401C00000BF001468
-:0401C10000C0001466
-:0401C20000C1001464
-:0401C30000C2001462
-:0401C40000C3001460
-:0401C50000C400155D
-:0401C60000C500155B
-:0401C70000C6001559
-:0401C80000C7001557
-:0401C90000C8001555
-:0401CA0000C9001553
-:0401CB0000CA001551
-:0401CC0000CB00154F
-:0401CD0000CC00154D
-:0401CE0000CD00164A
-:0401CF0000CE001648
-:0401D00000CF001646
-:0401D10000D0001644
-:0401D20000D1001642
-:0401D30000D2001640
-:0401D40000D300163E
-:0401D50000D400163C
-:0401D60000D500163A
-:0401D70000D6001638
-:0401D80000D7001735
-:0401D90000D8001733
-:0401DA0000D9001731
-:0401DB0000DA00172F
-:0401DC0000DB00172D
-:0401DD0000DC00172B
-:0401DE0000DD001729
-:0401DF0000DE001727
-:0401E00000DF001725
-:0401E10000E0001822
-:0401E20000E1001820
-:0401E30000E200181E
-:0401E40000E300181C
-:0401E50000E400181A
-:0401E60000E5001818
-:0401E70000E6001816
-:0401E80000E7001814
-:0401E90000E8001812
-:0401EA0000E9001810
-:0401EB0000EA00190D
-:0401EC0000EB00190B
-:0401ED0000EC001909
-:0401EE0000ED001907
-:0401EF0000EE001905
-:0401F00000EF001903
-:0401F10000F0001901
-:0401F20000F10019FF
-:0401F30000F20019FD
-:0401F40000F3001AFA
-:0401F50000F4001AF8
-:0401F60000F5001AF6
-:0401F70000F6001AF4
-:0401F80000F7001AF2
-:0401F90000F8001AF0
-:0401FA0000F9001AEE
-:0401FB0000FA001AEC
-:0401FC0000FB001AEA
-:0401FD0000FC001AE8
-:0401FE0000FD001BE5
-:0401FF0000FE001BE3
-:0402000000BF001427
-:0402010000C0001425
-:0402020000C1001423
-:0402030000C2001421
-:0402040000C300141F
-:0402050000C400151C
-:0402060000C500151A
-:0402070000C6001518
-:0402080000C7001516
-:0402090000C8001514
-:04020A0000C9001512
-:04020B0000CA001510
-:04020C0000CB00150E
-:04020D0000CC00150C
-:04020E0000CD001609
-:04020F0000CE001607
-:0402100000CF001605
-:0402110000D0001603
-:0402120000D1001601
-:0402130000D20016FF
-:0402140000D30016FD
-:0402150000D40016FB
-:0402160000D50016F9
-:0402170000D60016F7
-:0402180000D70017F4
-:0402190000D80017F2
-:04021A0000D90017F0
-:04021B0000DA0017EE
-:04021C0000DB0017EC
-:04021D0000DC0017EA
-:04021E0000DD0017E8
-:04021F0000DE0017E6
-:0402200000DF0017E4
-:0402210000E00018E1
-:0402220000E10018DF
-:0402230000E20018DD
-:0402240000E30018DB
-:0402250000E40018D9
-:0402260000E50018D7
-:0402270000E60018D5
-:0402280000E70018D3
-:0402290000E80018D1
-:04022A0000E90018CF
-:04022B0000EA0019CC
-:04022C0000EB0019CA
-:04022D0000EC0019C8
-:04022E0000ED0019C6
-:04022F0000EE0019C4
-:0402300000EF0019C2
-:0402310000F00019C0
-:0402320000F10019BE
-:0402330000F20019BC
-:0402340000F3001AB9
-:0402350000F4001AB7
-:0402360000F5001AB5
-:0402370000F6001AB3
-:0402380000F7001AB1
-:0402390000F8001AAF
-:04023A0000F9001AAD
-:04023B0000FA001AAB
-:04023C0000FB001AA9
-:04023D0000FC001AA7
-:04023E0000FD001BA4
-:04023F0000FE001BA2
-:0402400000BF0014E7
-:0402410000C00014E5
-:0402420000C10014E3
-:0402430000C20014E1
-:0402440000C30014DF
-:0402450000C40015DC
-:0402460000C50015DA
-:0402470000C60015D8
-:0402480000C70015D6
-:0402490000C80015D4
-:04024A0000C90015D2
-:04024B0000CA0015D0
-:04024C0000CB0015CE
-:04024D0000CC0015CC
-:04024E0000CD0016C9
-:04024F0000CE0016C7
-:0402500000CF0016C5
-:0402510000D00016C3
-:0402520000D10016C1
-:0402530000D20016BF
-:0402540000D30016BD
-:0402550000D40016BB
-:0402560000D50016B9
-:0402570000D60016B7
-:0402580000D70017B4
-:0402590000D80017B2
-:04025A0000D90017B0
-:04025B0000DA0017AE
-:04025C0000DB0017AC
-:04025D0000DC0017AA
-:04025E0000DD0017A8
-:04025F0000DE0017A6
-:0402600000DF0017A4
-:0402610000E00018A1
-:0402620000E100189F
-:0402630000E200189D
-:0402640000E300189B
-:0402650000E4001899
-:0402660000E5001897
-:0402670000E6001895
-:0402680000E7001893
-:0402690000E8001891
-:04026A0000E900188F
-:04026B0000EA00198C
-:04026C0000EB00198A
-:04026D0000EC001988
-:04026E0000ED001986
-:04026F0000EE001984
-:0402700000EF001982
-:0402710000F0001980
-:0402720000F100197E
-:0402730000F200197C
-:0402740000F3001A79
-:0402750000F4001A77
-:0402760000F5001A75
-:0402770000F6001A73
-:0402780000F7001A71
-:0402790000F8001A6F
-:04027A0000F9001A6D
-:04027B0000FA001A6B
-:04027C0000FB001A69
-:04027D0000FC001A67
-:04027E0000FD001B64
-:04027F0000FE001B62
-:0402800000BF0014A7
-:0402810000C00014A5
-:0402820000C10014A3
-:0402830000C20014A1
-:0402840000C300149F
-:0402850000C400159C
-:0402860000C500159A
-:0402870000C6001598
-:0402880000C7001596
-:0402890000C8001594
-:04028A0000C9001592
-:04028B0000CA001590
-:04028C0000CB00158E
-:04028D0000CC00158C
-:04028E0000CD001689
-:04028F0000CE001687
-:0402900000CF001685
-:0402910000D0001683
-:0402920000D1001681
-:0402930000D200167F
-:0402940000D300167D
-:0402950000D400167B
-:0402960000D5001679
-:0402970000D6001677
-:0402980000D7001774
-:0402990000D8001772
-:04029A0000D9001770
-:04029B0000DA00176E
-:04029C0000DB00176C
-:04029D0000DC00176A
-:04029E0000DD001768
-:04029F0000DE001766
-:0402A00000DF001764
-:0402A10000E0001861
-:0402A20000E100185F
-:0402A30000E200185D
-:0402A40000E300185B
-:0402A50000E4001859
-:0402A60000E5001857
-:0402A70000E6001855
-:0402A80000E7001853
-:0402A90000E8001851
-:0402AA0000E900184F
-:0402AB0000EA00194C
-:0402AC0000EB00194A
-:0402AD0000EC001948
-:0402AE0000ED001946
-:0402AF0000EE001944
-:0402B00000EF001942
-:0402B10000F0001940
-:0402B20000F100193E
-:0402B30000F200193C
-:0402B40000F3001A39
-:0402B50000F4001A37
-:0402B60000F5001A35
-:0402B70000F6001A33
-:0402B80000F7001A31
-:0402B90000F8001A2F
-:0402BA0000F9001A2D
-:0402BB0000FA001A2B
-:0402BC0000FB001A29
-:0402BD0000FC001A27
-:0402BE0000FD001B24
-:0402BF0000FE001B22
-:0402C00000BF001467
-:0402C10000C0001465
-:0402C20000C1001463
-:0402C30000C2001461
-:0402C40000C300145F
-:0402C50000C400155C
-:0402C60000C500155A
-:0402C70000C6001558
-:0402C80000C7001556
-:0402C90000C8001554
-:0402CA0000C9001552
-:0402CB0000CA001550
-:0402CC0000CB00154E
-:0402CD0000CC00154C
-:0402CE0000CD001649
-:0402CF0000CE001647
-:0402D00000CF001645
-:0402D10000D0001643
-:0402D20000D1001641
-:0402D30000D200163F
-:0402D40000D300163D
-:0402D50000D400163B
-:0402D60000D5001639
-:0402D70000D6001637
-:0402D80000D7001734
-:0402D90000D8001732
-:0402DA0000D9001730
-:0402DB0000DA00172E
-:0402DC0000DB00172C
-:0402DD0000DC00172A
-:0402DE0000DD001728
-:0402DF0000DE001726
-:0402E00000DF001724
-:0402E10000E0001821
-:0402E20000E100181F
-:0402E30000E200181D
-:0402E40000E300181B
-:0402E50000E4001819
-:0402E60000E5001817
-:0402E70000E6001815
-:0402E80000E7001813
-:0402E90000E8001811
-:0402EA0000E900180F
-:0402EB0000EA00190C
-:0402EC0000EB00190A
-:0402ED0000EC001908
-:0402EE0000ED001906
-:0402EF0000EE001904
-:0402F00000EF001902
-:0402F10000F0001900
-:0402F20000F10019FE
-:0402F30000F20019FC
-:0402F40000F3001AF9
-:0402F50000F4001AF7
-:0402F60000F5001AF5
-:0402F70000F6001AF3
-:0402F80000F7001AF1
-:0402F90000F8001AEF
-:0402FA0000F9001AED
-:0402FB0000FA001AEB
-:0402FC0000FB001AE9
-:0402FD0000FC001AE7
-:0402FE0000FD001BE4
-:0402FF0000FE001BE2
-:0403000000BF001426
-:0403010000C0001424
-:0403020000C1001422
-:0403030000C2001420
-:0403040000C300141E
-:0403050000C400151B
-:0403060000C5001519
-:0403070000C6001517
-:0403080000C7001515
-:0403090000C8001513
-:04030A0000C9001511
-:04030B0000CA00150F
-:04030C0000CB00150D
-:04030D0000CC00150B
-:04030E0000CD001608
-:04030F0000CE001606
-:0403100000CF001604
-:0403110000D0001602
-:0403120000D1001600
-:0403130000D20016FE
-:0403140000D30016FC
-:0403150000D40016FA
-:0403160000D50016F8
-:0403170000D60016F6
-:0403180000D70017F3
-:0403190000D80017F1
-:04031A0000D90017EF
-:04031B0000DA0017ED
-:04031C0000DB0017EB
-:04031D0000DC0017E9
-:04031E0000DD0017E7
-:04031F0000DE0017E5
-:0403200000DF0017E3
-:0403210000E00018E0
-:0403220000E10018DE
-:0403230000E20018DC
-:0403240000E30018DA
-:0403250000E40018D8
-:0403260000E50018D6
-:0403270000E60018D4
-:0403280000E70018D2
-:0403290000E80018D0
-:04032A0000E90018CE
-:04032B0000EA0019CB
-:04032C0000EB0019C9
-:04032D0000EC0019C7
-:04032E0000ED0019C5
-:04032F0000EE0019C3
-:0403300000EF0019C1
-:0403310000F00019BF
-:0403320000F10019BD
-:0403330000F20019BB
-:0403340000F3001AB8
-:0403350000F4001AB6
-:0403360000F5001AB4
-:0403370000F6001AB2
-:0403380000F7001AB0
-:0403390000F8001AAE
-:04033A0000F9001AAC
-:04033B0000FA001AAA
-:04033C0000FB001AA8
-:04033D0000FC001AA6
-:04033E0000FD001BA3
-:04033F0000FE001BA1
-:0403400000BF0014E6
-:0403410000C00014E4
-:0403420000C10014E2
-:0403430000C20014E0
-:0403440000C30014DE
-:0403450000C40015DB
-:0403460000C50015D9
-:0403470000C60015D7
-:0403480000C70015D5
-:0403490000C80015D3
-:04034A0000C90015D1
-:04034B0000CA0015CF
-:04034C0000CB0015CD
-:04034D0000CC0015CB
-:04034E0000CD0016C8
-:04034F0000CE0016C6
-:0403500000CF0016C4
-:0403510000D00016C2
-:0403520000D10016C0
-:0403530000D20016BE
-:0403540000D30016BC
-:0403550000D40016BA
-:0403560000D50016B8
-:0403570000D60016B6
-:0403580000D70017B3
-:0403590000D80017B1
-:04035A0000D90017AF
-:04035B0000DA0017AD
-:04035C0000DB0017AB
-:04035D0000DC0017A9
-:04035E0000DD0017A7
-:04035F0000DE0017A5
-:0403600000DF0017A3
-:0403610000E00018A0
-:0403620000E100189E
-:0403630000E200189C
-:0403640000E300189A
-:0403650000E4001898
-:0403660000E5001896
-:0403670000E6001894
-:0403680000E7001892
-:0403690000E8001890
-:04036A0000E900188E
-:04036B0000EA00198B
-:04036C0000EB001989
-:04036D0000EC001987
-:04036E0000ED001985
-:04036F0000EE001983
-:0403700000EF001981
-:0403710000F000197F
-:0403720000F100197D
-:0403730000F200197B
-:0403740000F3001A78
-:0403750000F4001A76
-:0403760000F5001A74
-:0403770000F6001A72
-:0403780000F7001A70
-:0403790000F8001A6E
-:04037A0000F9001A6C
-:04037B0000FA001A6A
-:04037C0000FB001A68
-:04037D0000FC001A66
-:04037E0000FD001B63
-:04037F0000FE001B61
-:0403800000BF0014A6
-:0403810000C00014A4
-:0403820000C10014A2
-:0403830000C20014A0
-:0403840000C300149E
-:0403850000C400159B
-:0403860000C5001599
-:0403870000C6001597
-:0403880000C7001595
-:0403890000C8001593
-:04038A0000C9001591
-:04038B0000CA00158F
-:04038C0000CB00158D
-:04038D0000CC00158B
-:04038E0000CD001688
-:04038F0000CE001686
-:0403900000CF001684
-:0403910000D0001682
-:0403920000D1001680
-:0403930000D200167E
-:0403940000D300167C
-:0403950000D400167A
-:0403960000D5001678
-:0403970000D6001676
-:0403980000D7001773
-:0403990000D8001771
-:04039A0000D900176F
-:04039B0000DA00176D
-:04039C0000DB00176B
-:04039D0000DC001769
-:04039E0000DD001767
-:04039F0000DE001765
-:0403A00000DF001763
-:0403A10000E0001860
-:0403A20000E100185E
-:0403A30000E200185C
-:0403A40000E300185A
-:0403A50000E4001858
-:0403A60000E5001856
-:0403A70000E6001854
-:0403A80000E7001852
-:0403A90000E8001850
-:0403AA0000E900184E
-:0403AB0000EA00194B
-:0403AC0000EB001949
-:0403AD0000EC001947
-:0403AE0000ED001945
-:0403AF0000EE001943
-:0403B00000EF001941
-:0403B10000F000193F
-:0403B20000F100193D
-:0403B30000F200193B
-:0403B40000F3001A38
-:0403B50000F4001A36
-:0403B60000F5001A34
-:0403B70000F6001A32
-:0403B80000F7001A30
-:0403B90000F8001A2E
-:0403BA0000F9001A2C
-:0403BB0000FA001A2A
-:0403BC0000FB001A28
-:0403BD0000FC001A26
-:0403BE0000FD001B23
-:0403BF0000FE001B21
-:0403C00000BF001466
-:0403C10000C0001464
-:0403C20000C1001462
-:0403C30000C2001460
-:0403C40000C300145E
-:0403C50000C400155B
-:0403C60000C5001559
-:0403C70000C6001557
-:0403C80000C7001555
-:0403C90000C8001553
-:0403CA0000C9001551
-:0403CB0000CA00154F
-:0403CC0000CB00154D
-:0403CD0000CC00154B
-:0403CE0000CD001648
-:0403CF0000CE001646
-:0403D00000CF001644
-:0403D10000D0001642
-:0403D20000D1001640
-:0403D30000D200163E
-:0403D40000D300163C
-:0403D50000D400163A
-:0403D60000D5001638
-:0403D70000D6001636
-:0403D80000D7001733
-:0403D90000D8001731
-:0403DA0000D900172F
-:0403DB0000DA00172D
-:0403DC0000DB00172B
-:0403DD0000DC001729
-:0403DE0000DD001727
-:0403DF0000DE001725
-:0403E00000DF001723
-:0403E10000E0001820
-:0403E20000E100181E
-:0403E30000E200181C
-:0403E40000E300181A
-:0403E50000E4001818
-:0403E60000E5001816
-:0403E70000E6001814
-:0403E80000E7001812
-:0403E90000E8001810
-:0403EA0000E900180E
-:0403EB0000EA00190B
-:0403EC0000EB001909
-:0403ED0000EC001907
-:0403EE0000ED001905
-:0403EF0000EE001903
-:0403F00000EF001901
-:0403F10000F00019FF
-:0403F20000F10019FD
-:0403F30000F20019FB
-:0403F40000F3001AF8
-:0403F50000F4001AF6
-:0403F60000F5001AF4
-:0403F70000F6001AF2
-:0403F80000F7001AF0
-:0403F90000F8001AEE
-:0403FA0000F9001AEC
-:0403FB0000FA001AEA
-:0403FC0000FB001AE8
-:0403FD0000FC001AE6
-:0403FE0000FD001BE3
-:0403FF0000FE001BE1
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_6.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_6.hex
index 061058a7953738685fa48184619559d188d81efd..260f3be3505e9d09ba628c20d69360ae0b090d45 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_6.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_6.hex
@@ -62,964 +62,4 @@
 :04003D0000FB001FA5
 :04003E0000FC001FA3
 :04003F0000FD001FA1
-:0400400000BF0017E6
-:0400410000C00018E3
-:0400420000C10018E1
-:0400430000C20018DF
-:0400440000C30018DD
-:0400450000C40018DB
-:0400460000C50018D9
-:0400470000C60018D7
-:0400480000C70018D5
-:0400490000C80018D3
-:04004A0000C80019D1
-:04004B0000C90019CF
-:04004C0000CA0019CD
-:04004D0000CB0019CB
-:04004E0000CC0019C9
-:04004F0000CD0019C7
-:0400500000CE0019C5
-:0400510000CF0019C3
-:0400520000D0001AC0
-:0400530000D1001ABE
-:0400540000D2001ABC
-:0400550000D3001ABA
-:0400560000D4001AB8
-:0400570000D5001AB6
-:0400580000D6001AB4
-:0400590000D7001AB2
-:04005A0000D8001BAF
-:04005B0000D9001BAD
-:04005C0000DA001BAB
-:04005D0000DB001BA9
-:04005E0000DC001BA7
-:04005F0000DD001BA5
-:0400600000DE001BA3
-:0400610000DF001BA1
-:0400620000E0001C9E
-:0400630000E1001C9C
-:0400640000E2001C9A
-:0400650000E3001C98
-:0400660000E4001C96
-:0400670000E5001C94
-:0400680000E6001C92
-:0400690000E7001C90
-:04006A0000E8001D8D
-:04006B0000E9001D8B
-:04006C0000EA001D89
-:04006D0000EB001D87
-:04006E0000EC001D85
-:04006F0000ED001D83
-:0400700000EE001D81
-:0400710000EF001D7F
-:0400720000F0001D7D
-:0400730000F1001E7A
-:0400740000F2001E78
-:0400750000F3001E76
-:0400760000F4001E74
-:0400770000F5001E72
-:0400780000F6001E70
-:0400790000F7001E6E
-:04007A0000F8001E6C
-:04007B0000F9001F69
-:04007C0000FA001F67
-:04007D0000FB001F65
-:04007E0000FC001F63
-:04007F0000FD001F61
-:0400800000BF0017A6
-:0400810000C00018A3
-:0400820000C10018A1
-:0400830000C200189F
-:0400840000C300189D
-:0400850000C400189B
-:0400860000C5001899
-:0400870000C6001897
-:0400880000C7001895
-:0400890000C8001893
-:04008A0000C8001991
-:04008B0000C900198F
-:04008C0000CA00198D
-:04008D0000CB00198B
-:04008E0000CC001989
-:04008F0000CD001987
-:0400900000CE001985
-:0400910000CF001983
-:0400920000D0001A80
-:0400930000D1001A7E
-:0400940000D2001A7C
-:0400950000D3001A7A
-:0400960000D4001A78
-:0400970000D5001A76
-:0400980000D6001A74
-:0400990000D7001A72
-:04009A0000D8001B6F
-:04009B0000D9001B6D
-:04009C0000DA001B6B
-:04009D0000DB001B69
-:04009E0000DC001B67
-:04009F0000DD001B65
-:0400A00000DE001B63
-:0400A10000DF001B61
-:0400A20000E0001C5E
-:0400A30000E1001C5C
-:0400A40000E2001C5A
-:0400A50000E3001C58
-:0400A60000E4001C56
-:0400A70000E5001C54
-:0400A80000E6001C52
-:0400A90000E7001C50
-:0400AA0000E8001D4D
-:0400AB0000E9001D4B
-:0400AC0000EA001D49
-:0400AD0000EB001D47
-:0400AE0000EC001D45
-:0400AF0000ED001D43
-:0400B00000EE001D41
-:0400B10000EF001D3F
-:0400B20000F0001D3D
-:0400B30000F1001E3A
-:0400B40000F2001E38
-:0400B50000F3001E36
-:0400B60000F4001E34
-:0400B70000F5001E32
-:0400B80000F6001E30
-:0400B90000F7001E2E
-:0400BA0000F8001E2C
-:0400BB0000F9001F29
-:0400BC0000FA001F27
-:0400BD0000FB001F25
-:0400BE0000FC001F23
-:0400BF0000FD001F21
-:0400C00000BF001766
-:0400C10000C0001863
-:0400C20000C1001861
-:0400C30000C200185F
-:0400C40000C300185D
-:0400C50000C400185B
-:0400C60000C5001859
-:0400C70000C6001857
-:0400C80000C7001855
-:0400C90000C8001853
-:0400CA0000C8001951
-:0400CB0000C900194F
-:0400CC0000CA00194D
-:0400CD0000CB00194B
-:0400CE0000CC001949
-:0400CF0000CD001947
-:0400D00000CE001945
-:0400D10000CF001943
-:0400D20000D0001A40
-:0400D30000D1001A3E
-:0400D40000D2001A3C
-:0400D50000D3001A3A
-:0400D60000D4001A38
-:0400D70000D5001A36
-:0400D80000D6001A34
-:0400D90000D7001A32
-:0400DA0000D8001B2F
-:0400DB0000D9001B2D
-:0400DC0000DA001B2B
-:0400DD0000DB001B29
-:0400DE0000DC001B27
-:0400DF0000DD001B25
-:0400E00000DE001B23
-:0400E10000DF001B21
-:0400E20000E0001C1E
-:0400E30000E1001C1C
-:0400E40000E2001C1A
-:0400E50000E3001C18
-:0400E60000E4001C16
-:0400E70000E5001C14
-:0400E80000E6001C12
-:0400E90000E7001C10
-:0400EA0000E8001D0D
-:0400EB0000E9001D0B
-:0400EC0000EA001D09
-:0400ED0000EB001D07
-:0400EE0000EC001D05
-:0400EF0000ED001D03
-:0400F00000EE001D01
-:0400F10000EF001DFF
-:0400F20000F0001DFD
-:0400F30000F1001EFA
-:0400F40000F2001EF8
-:0400F50000F3001EF6
-:0400F60000F4001EF4
-:0400F70000F5001EF2
-:0400F80000F6001EF0
-:0400F90000F7001EEE
-:0400FA0000F8001EEC
-:0400FB0000F9001FE9
-:0400FC0000FA001FE7
-:0400FD0000FB001FE5
-:0400FE0000FC001FE3
-:0400FF0000FD001FE1
-:0401000000BF001725
-:0401010000C0001822
-:0401020000C1001820
-:0401030000C200181E
-:0401040000C300181C
-:0401050000C400181A
-:0401060000C5001818
-:0401070000C6001816
-:0401080000C7001814
-:0401090000C8001812
-:04010A0000C8001910
-:04010B0000C900190E
-:04010C0000CA00190C
-:04010D0000CB00190A
-:04010E0000CC001908
-:04010F0000CD001906
-:0401100000CE001904
-:0401110000CF001902
-:0401120000D0001AFF
-:0401130000D1001AFD
-:0401140000D2001AFB
-:0401150000D3001AF9
-:0401160000D4001AF7
-:0401170000D5001AF5
-:0401180000D6001AF3
-:0401190000D7001AF1
-:04011A0000D8001BEE
-:04011B0000D9001BEC
-:04011C0000DA001BEA
-:04011D0000DB001BE8
-:04011E0000DC001BE6
-:04011F0000DD001BE4
-:0401200000DE001BE2
-:0401210000DF001BE0
-:0401220000E0001CDD
-:0401230000E1001CDB
-:0401240000E2001CD9
-:0401250000E3001CD7
-:0401260000E4001CD5
-:0401270000E5001CD3
-:0401280000E6001CD1
-:0401290000E7001CCF
-:04012A0000E8001DCC
-:04012B0000E9001DCA
-:04012C0000EA001DC8
-:04012D0000EB001DC6
-:04012E0000EC001DC4
-:04012F0000ED001DC2
-:0401300000EE001DC0
-:0401310000EF001DBE
-:0401320000F0001DBC
-:0401330000F1001EB9
-:0401340000F2001EB7
-:0401350000F3001EB5
-:0401360000F4001EB3
-:0401370000F5001EB1
-:0401380000F6001EAF
-:0401390000F7001EAD
-:04013A0000F8001EAB
-:04013B0000F9001FA8
-:04013C0000FA001FA6
-:04013D0000FB001FA4
-:04013E0000FC001FA2
-:04013F0000FD001FA0
-:0401400000BF0017E5
-:0401410000C00018E2
-:0401420000C10018E0
-:0401430000C20018DE
-:0401440000C30018DC
-:0401450000C40018DA
-:0401460000C50018D8
-:0401470000C60018D6
-:0401480000C70018D4
-:0401490000C80018D2
-:04014A0000C80019D0
-:04014B0000C90019CE
-:04014C0000CA0019CC
-:04014D0000CB0019CA
-:04014E0000CC0019C8
-:04014F0000CD0019C6
-:0401500000CE0019C4
-:0401510000CF0019C2
-:0401520000D0001ABF
-:0401530000D1001ABD
-:0401540000D2001ABB
-:0401550000D3001AB9
-:0401560000D4001AB7
-:0401570000D5001AB5
-:0401580000D6001AB3
-:0401590000D7001AB1
-:04015A0000D8001BAE
-:04015B0000D9001BAC
-:04015C0000DA001BAA
-:04015D0000DB001BA8
-:04015E0000DC001BA6
-:04015F0000DD001BA4
-:0401600000DE001BA2
-:0401610000DF001BA0
-:0401620000E0001C9D
-:0401630000E1001C9B
-:0401640000E2001C99
-:0401650000E3001C97
-:0401660000E4001C95
-:0401670000E5001C93
-:0401680000E6001C91
-:0401690000E7001C8F
-:04016A0000E8001D8C
-:04016B0000E9001D8A
-:04016C0000EA001D88
-:04016D0000EB001D86
-:04016E0000EC001D84
-:04016F0000ED001D82
-:0401700000EE001D80
-:0401710000EF001D7E
-:0401720000F0001D7C
-:0401730000F1001E79
-:0401740000F2001E77
-:0401750000F3001E75
-:0401760000F4001E73
-:0401770000F5001E71
-:0401780000F6001E6F
-:0401790000F7001E6D
-:04017A0000F8001E6B
-:04017B0000F9001F68
-:04017C0000FA001F66
-:04017D0000FB001F64
-:04017E0000FC001F62
-:04017F0000FD001F60
-:0401800000BF0017A5
-:0401810000C00018A2
-:0401820000C10018A0
-:0401830000C200189E
-:0401840000C300189C
-:0401850000C400189A
-:0401860000C5001898
-:0401870000C6001896
-:0401880000C7001894
-:0401890000C8001892
-:04018A0000C8001990
-:04018B0000C900198E
-:04018C0000CA00198C
-:04018D0000CB00198A
-:04018E0000CC001988
-:04018F0000CD001986
-:0401900000CE001984
-:0401910000CF001982
-:0401920000D0001A7F
-:0401930000D1001A7D
-:0401940000D2001A7B
-:0401950000D3001A79
-:0401960000D4001A77
-:0401970000D5001A75
-:0401980000D6001A73
-:0401990000D7001A71
-:04019A0000D8001B6E
-:04019B0000D9001B6C
-:04019C0000DA001B6A
-:04019D0000DB001B68
-:04019E0000DC001B66
-:04019F0000DD001B64
-:0401A00000DE001B62
-:0401A10000DF001B60
-:0401A20000E0001C5D
-:0401A30000E1001C5B
-:0401A40000E2001C59
-:0401A50000E3001C57
-:0401A60000E4001C55
-:0401A70000E5001C53
-:0401A80000E6001C51
-:0401A90000E7001C4F
-:0401AA0000E8001D4C
-:0401AB0000E9001D4A
-:0401AC0000EA001D48
-:0401AD0000EB001D46
-:0401AE0000EC001D44
-:0401AF0000ED001D42
-:0401B00000EE001D40
-:0401B10000EF001D3E
-:0401B20000F0001D3C
-:0401B30000F1001E39
-:0401B40000F2001E37
-:0401B50000F3001E35
-:0401B60000F4001E33
-:0401B70000F5001E31
-:0401B80000F6001E2F
-:0401B90000F7001E2D
-:0401BA0000F8001E2B
-:0401BB0000F9001F28
-:0401BC0000FA001F26
-:0401BD0000FB001F24
-:0401BE0000FC001F22
-:0401BF0000FD001F20
-:0401C00000BF001765
-:0401C10000C0001862
-:0401C20000C1001860
-:0401C30000C200185E
-:0401C40000C300185C
-:0401C50000C400185A
-:0401C60000C5001858
-:0401C70000C6001856
-:0401C80000C7001854
-:0401C90000C8001852
-:0401CA0000C8001950
-:0401CB0000C900194E
-:0401CC0000CA00194C
-:0401CD0000CB00194A
-:0401CE0000CC001948
-:0401CF0000CD001946
-:0401D00000CE001944
-:0401D10000CF001942
-:0401D20000D0001A3F
-:0401D30000D1001A3D
-:0401D40000D2001A3B
-:0401D50000D3001A39
-:0401D60000D4001A37
-:0401D70000D5001A35
-:0401D80000D6001A33
-:0401D90000D7001A31
-:0401DA0000D8001B2E
-:0401DB0000D9001B2C
-:0401DC0000DA001B2A
-:0401DD0000DB001B28
-:0401DE0000DC001B26
-:0401DF0000DD001B24
-:0401E00000DE001B22
-:0401E10000DF001B20
-:0401E20000E0001C1D
-:0401E30000E1001C1B
-:0401E40000E2001C19
-:0401E50000E3001C17
-:0401E60000E4001C15
-:0401E70000E5001C13
-:0401E80000E6001C11
-:0401E90000E7001C0F
-:0401EA0000E8001D0C
-:0401EB0000E9001D0A
-:0401EC0000EA001D08
-:0401ED0000EB001D06
-:0401EE0000EC001D04
-:0401EF0000ED001D02
-:0401F00000EE001D00
-:0401F10000EF001DFE
-:0401F20000F0001DFC
-:0401F30000F1001EF9
-:0401F40000F2001EF7
-:0401F50000F3001EF5
-:0401F60000F4001EF3
-:0401F70000F5001EF1
-:0401F80000F6001EEF
-:0401F90000F7001EED
-:0401FA0000F8001EEB
-:0401FB0000F9001FE8
-:0401FC0000FA001FE6
-:0401FD0000FB001FE4
-:0401FE0000FC001FE2
-:0401FF0000FD001FE0
-:0402000000BF001724
-:0402010000C0001821
-:0402020000C100181F
-:0402030000C200181D
-:0402040000C300181B
-:0402050000C4001819
-:0402060000C5001817
-:0402070000C6001815
-:0402080000C7001813
-:0402090000C8001811
-:04020A0000C800190F
-:04020B0000C900190D
-:04020C0000CA00190B
-:04020D0000CB001909
-:04020E0000CC001907
-:04020F0000CD001905
-:0402100000CE001903
-:0402110000CF001901
-:0402120000D0001AFE
-:0402130000D1001AFC
-:0402140000D2001AFA
-:0402150000D3001AF8
-:0402160000D4001AF6
-:0402170000D5001AF4
-:0402180000D6001AF2
-:0402190000D7001AF0
-:04021A0000D8001BED
-:04021B0000D9001BEB
-:04021C0000DA001BE9
-:04021D0000DB001BE7
-:04021E0000DC001BE5
-:04021F0000DD001BE3
-:0402200000DE001BE1
-:0402210000DF001BDF
-:0402220000E0001CDC
-:0402230000E1001CDA
-:0402240000E2001CD8
-:0402250000E3001CD6
-:0402260000E4001CD4
-:0402270000E5001CD2
-:0402280000E6001CD0
-:0402290000E7001CCE
-:04022A0000E8001DCB
-:04022B0000E9001DC9
-:04022C0000EA001DC7
-:04022D0000EB001DC5
-:04022E0000EC001DC3
-:04022F0000ED001DC1
-:0402300000EE001DBF
-:0402310000EF001DBD
-:0402320000F0001DBB
-:0402330000F1001EB8
-:0402340000F2001EB6
-:0402350000F3001EB4
-:0402360000F4001EB2
-:0402370000F5001EB0
-:0402380000F6001EAE
-:0402390000F7001EAC
-:04023A0000F8001EAA
-:04023B0000F9001FA7
-:04023C0000FA001FA5
-:04023D0000FB001FA3
-:04023E0000FC001FA1
-:04023F0000FD001F9F
-:0402400000BF0017E4
-:0402410000C00018E1
-:0402420000C10018DF
-:0402430000C20018DD
-:0402440000C30018DB
-:0402450000C40018D9
-:0402460000C50018D7
-:0402470000C60018D5
-:0402480000C70018D3
-:0402490000C80018D1
-:04024A0000C80019CF
-:04024B0000C90019CD
-:04024C0000CA0019CB
-:04024D0000CB0019C9
-:04024E0000CC0019C7
-:04024F0000CD0019C5
-:0402500000CE0019C3
-:0402510000CF0019C1
-:0402520000D0001ABE
-:0402530000D1001ABC
-:0402540000D2001ABA
-:0402550000D3001AB8
-:0402560000D4001AB6
-:0402570000D5001AB4
-:0402580000D6001AB2
-:0402590000D7001AB0
-:04025A0000D8001BAD
-:04025B0000D9001BAB
-:04025C0000DA001BA9
-:04025D0000DB001BA7
-:04025E0000DC001BA5
-:04025F0000DD001BA3
-:0402600000DE001BA1
-:0402610000DF001B9F
-:0402620000E0001C9C
-:0402630000E1001C9A
-:0402640000E2001C98
-:0402650000E3001C96
-:0402660000E4001C94
-:0402670000E5001C92
-:0402680000E6001C90
-:0402690000E7001C8E
-:04026A0000E8001D8B
-:04026B0000E9001D89
-:04026C0000EA001D87
-:04026D0000EB001D85
-:04026E0000EC001D83
-:04026F0000ED001D81
-:0402700000EE001D7F
-:0402710000EF001D7D
-:0402720000F0001D7B
-:0402730000F1001E78
-:0402740000F2001E76
-:0402750000F3001E74
-:0402760000F4001E72
-:0402770000F5001E70
-:0402780000F6001E6E
-:0402790000F7001E6C
-:04027A0000F8001E6A
-:04027B0000F9001F67
-:04027C0000FA001F65
-:04027D0000FB001F63
-:04027E0000FC001F61
-:04027F0000FD001F5F
-:0402800000BF0017A4
-:0402810000C00018A1
-:0402820000C100189F
-:0402830000C200189D
-:0402840000C300189B
-:0402850000C4001899
-:0402860000C5001897
-:0402870000C6001895
-:0402880000C7001893
-:0402890000C8001891
-:04028A0000C800198F
-:04028B0000C900198D
-:04028C0000CA00198B
-:04028D0000CB001989
-:04028E0000CC001987
-:04028F0000CD001985
-:0402900000CE001983
-:0402910000CF001981
-:0402920000D0001A7E
-:0402930000D1001A7C
-:0402940000D2001A7A
-:0402950000D3001A78
-:0402960000D4001A76
-:0402970000D5001A74
-:0402980000D6001A72
-:0402990000D7001A70
-:04029A0000D8001B6D
-:04029B0000D9001B6B
-:04029C0000DA001B69
-:04029D0000DB001B67
-:04029E0000DC001B65
-:04029F0000DD001B63
-:0402A00000DE001B61
-:0402A10000DF001B5F
-:0402A20000E0001C5C
-:0402A30000E1001C5A
-:0402A40000E2001C58
-:0402A50000E3001C56
-:0402A60000E4001C54
-:0402A70000E5001C52
-:0402A80000E6001C50
-:0402A90000E7001C4E
-:0402AA0000E8001D4B
-:0402AB0000E9001D49
-:0402AC0000EA001D47
-:0402AD0000EB001D45
-:0402AE0000EC001D43
-:0402AF0000ED001D41
-:0402B00000EE001D3F
-:0402B10000EF001D3D
-:0402B20000F0001D3B
-:0402B30000F1001E38
-:0402B40000F2001E36
-:0402B50000F3001E34
-:0402B60000F4001E32
-:0402B70000F5001E30
-:0402B80000F6001E2E
-:0402B90000F7001E2C
-:0402BA0000F8001E2A
-:0402BB0000F9001F27
-:0402BC0000FA001F25
-:0402BD0000FB001F23
-:0402BE0000FC001F21
-:0402BF0000FD001F1F
-:0402C00000BF001764
-:0402C10000C0001861
-:0402C20000C100185F
-:0402C30000C200185D
-:0402C40000C300185B
-:0402C50000C4001859
-:0402C60000C5001857
-:0402C70000C6001855
-:0402C80000C7001853
-:0402C90000C8001851
-:0402CA0000C800194F
-:0402CB0000C900194D
-:0402CC0000CA00194B
-:0402CD0000CB001949
-:0402CE0000CC001947
-:0402CF0000CD001945
-:0402D00000CE001943
-:0402D10000CF001941
-:0402D20000D0001A3E
-:0402D30000D1001A3C
-:0402D40000D2001A3A
-:0402D50000D3001A38
-:0402D60000D4001A36
-:0402D70000D5001A34
-:0402D80000D6001A32
-:0402D90000D7001A30
-:0402DA0000D8001B2D
-:0402DB0000D9001B2B
-:0402DC0000DA001B29
-:0402DD0000DB001B27
-:0402DE0000DC001B25
-:0402DF0000DD001B23
-:0402E00000DE001B21
-:0402E10000DF001B1F
-:0402E20000E0001C1C
-:0402E30000E1001C1A
-:0402E40000E2001C18
-:0402E50000E3001C16
-:0402E60000E4001C14
-:0402E70000E5001C12
-:0402E80000E6001C10
-:0402E90000E7001C0E
-:0402EA0000E8001D0B
-:0402EB0000E9001D09
-:0402EC0000EA001D07
-:0402ED0000EB001D05
-:0402EE0000EC001D03
-:0402EF0000ED001D01
-:0402F00000EE001DFF
-:0402F10000EF001DFD
-:0402F20000F0001DFB
-:0402F30000F1001EF8
-:0402F40000F2001EF6
-:0402F50000F3001EF4
-:0402F60000F4001EF2
-:0402F70000F5001EF0
-:0402F80000F6001EEE
-:0402F90000F7001EEC
-:0402FA0000F8001EEA
-:0402FB0000F9001FE7
-:0402FC0000FA001FE5
-:0402FD0000FB001FE3
-:0402FE0000FC001FE1
-:0402FF0000FD001FDF
-:0403000000BF001723
-:0403010000C0001820
-:0403020000C100181E
-:0403030000C200181C
-:0403040000C300181A
-:0403050000C4001818
-:0403060000C5001816
-:0403070000C6001814
-:0403080000C7001812
-:0403090000C8001810
-:04030A0000C800190E
-:04030B0000C900190C
-:04030C0000CA00190A
-:04030D0000CB001908
-:04030E0000CC001906
-:04030F0000CD001904
-:0403100000CE001902
-:0403110000CF001900
-:0403120000D0001AFD
-:0403130000D1001AFB
-:0403140000D2001AF9
-:0403150000D3001AF7
-:0403160000D4001AF5
-:0403170000D5001AF3
-:0403180000D6001AF1
-:0403190000D7001AEF
-:04031A0000D8001BEC
-:04031B0000D9001BEA
-:04031C0000DA001BE8
-:04031D0000DB001BE6
-:04031E0000DC001BE4
-:04031F0000DD001BE2
-:0403200000DE001BE0
-:0403210000DF001BDE
-:0403220000E0001CDB
-:0403230000E1001CD9
-:0403240000E2001CD7
-:0403250000E3001CD5
-:0403260000E4001CD3
-:0403270000E5001CD1
-:0403280000E6001CCF
-:0403290000E7001CCD
-:04032A0000E8001DCA
-:04032B0000E9001DC8
-:04032C0000EA001DC6
-:04032D0000EB001DC4
-:04032E0000EC001DC2
-:04032F0000ED001DC0
-:0403300000EE001DBE
-:0403310000EF001DBC
-:0403320000F0001DBA
-:0403330000F1001EB7
-:0403340000F2001EB5
-:0403350000F3001EB3
-:0403360000F4001EB1
-:0403370000F5001EAF
-:0403380000F6001EAD
-:0403390000F7001EAB
-:04033A0000F8001EA9
-:04033B0000F9001FA6
-:04033C0000FA001FA4
-:04033D0000FB001FA2
-:04033E0000FC001FA0
-:04033F0000FD001F9E
-:0403400000BF0017E3
-:0403410000C00018E0
-:0403420000C10018DE
-:0403430000C20018DC
-:0403440000C30018DA
-:0403450000C40018D8
-:0403460000C50018D6
-:0403470000C60018D4
-:0403480000C70018D2
-:0403490000C80018D0
-:04034A0000C80019CE
-:04034B0000C90019CC
-:04034C0000CA0019CA
-:04034D0000CB0019C8
-:04034E0000CC0019C6
-:04034F0000CD0019C4
-:0403500000CE0019C2
-:0403510000CF0019C0
-:0403520000D0001ABD
-:0403530000D1001ABB
-:0403540000D2001AB9
-:0403550000D3001AB7
-:0403560000D4001AB5
-:0403570000D5001AB3
-:0403580000D6001AB1
-:0403590000D7001AAF
-:04035A0000D8001BAC
-:04035B0000D9001BAA
-:04035C0000DA001BA8
-:04035D0000DB001BA6
-:04035E0000DC001BA4
-:04035F0000DD001BA2
-:0403600000DE001BA0
-:0403610000DF001B9E
-:0403620000E0001C9B
-:0403630000E1001C99
-:0403640000E2001C97
-:0403650000E3001C95
-:0403660000E4001C93
-:0403670000E5001C91
-:0403680000E6001C8F
-:0403690000E7001C8D
-:04036A0000E8001D8A
-:04036B0000E9001D88
-:04036C0000EA001D86
-:04036D0000EB001D84
-:04036E0000EC001D82
-:04036F0000ED001D80
-:0403700000EE001D7E
-:0403710000EF001D7C
-:0403720000F0001D7A
-:0403730000F1001E77
-:0403740000F2001E75
-:0403750000F3001E73
-:0403760000F4001E71
-:0403770000F5001E6F
-:0403780000F6001E6D
-:0403790000F7001E6B
-:04037A0000F8001E69
-:04037B0000F9001F66
-:04037C0000FA001F64
-:04037D0000FB001F62
-:04037E0000FC001F60
-:04037F0000FD001F5E
-:0403800000BF0017A3
-:0403810000C00018A0
-:0403820000C100189E
-:0403830000C200189C
-:0403840000C300189A
-:0403850000C4001898
-:0403860000C5001896
-:0403870000C6001894
-:0403880000C7001892
-:0403890000C8001890
-:04038A0000C800198E
-:04038B0000C900198C
-:04038C0000CA00198A
-:04038D0000CB001988
-:04038E0000CC001986
-:04038F0000CD001984
-:0403900000CE001982
-:0403910000CF001980
-:0403920000D0001A7D
-:0403930000D1001A7B
-:0403940000D2001A79
-:0403950000D3001A77
-:0403960000D4001A75
-:0403970000D5001A73
-:0403980000D6001A71
-:0403990000D7001A6F
-:04039A0000D8001B6C
-:04039B0000D9001B6A
-:04039C0000DA001B68
-:04039D0000DB001B66
-:04039E0000DC001B64
-:04039F0000DD001B62
-:0403A00000DE001B60
-:0403A10000DF001B5E
-:0403A20000E0001C5B
-:0403A30000E1001C59
-:0403A40000E2001C57
-:0403A50000E3001C55
-:0403A60000E4001C53
-:0403A70000E5001C51
-:0403A80000E6001C4F
-:0403A90000E7001C4D
-:0403AA0000E8001D4A
-:0403AB0000E9001D48
-:0403AC0000EA001D46
-:0403AD0000EB001D44
-:0403AE0000EC001D42
-:0403AF0000ED001D40
-:0403B00000EE001D3E
-:0403B10000EF001D3C
-:0403B20000F0001D3A
-:0403B30000F1001E37
-:0403B40000F2001E35
-:0403B50000F3001E33
-:0403B60000F4001E31
-:0403B70000F5001E2F
-:0403B80000F6001E2D
-:0403B90000F7001E2B
-:0403BA0000F8001E29
-:0403BB0000F9001F26
-:0403BC0000FA001F24
-:0403BD0000FB001F22
-:0403BE0000FC001F20
-:0403BF0000FD001F1E
-:0403C00000BF001763
-:0403C10000C0001860
-:0403C20000C100185E
-:0403C30000C200185C
-:0403C40000C300185A
-:0403C50000C4001858
-:0403C60000C5001856
-:0403C70000C6001854
-:0403C80000C7001852
-:0403C90000C8001850
-:0403CA0000C800194E
-:0403CB0000C900194C
-:0403CC0000CA00194A
-:0403CD0000CB001948
-:0403CE0000CC001946
-:0403CF0000CD001944
-:0403D00000CE001942
-:0403D10000CF001940
-:0403D20000D0001A3D
-:0403D30000D1001A3B
-:0403D40000D2001A39
-:0403D50000D3001A37
-:0403D60000D4001A35
-:0403D70000D5001A33
-:0403D80000D6001A31
-:0403D90000D7001A2F
-:0403DA0000D8001B2C
-:0403DB0000D9001B2A
-:0403DC0000DA001B28
-:0403DD0000DB001B26
-:0403DE0000DC001B24
-:0403DF0000DD001B22
-:0403E00000DE001B20
-:0403E10000DF001B1E
-:0403E20000E0001C1B
-:0403E30000E1001C19
-:0403E40000E2001C17
-:0403E50000E3001C15
-:0403E60000E4001C13
-:0403E70000E5001C11
-:0403E80000E6001C0F
-:0403E90000E7001C0D
-:0403EA0000E8001D0A
-:0403EB0000E9001D08
-:0403EC0000EA001D06
-:0403ED0000EB001D04
-:0403EE0000EC001D02
-:0403EF0000ED001D00
-:0403F00000EE001DFE
-:0403F10000EF001DFC
-:0403F20000F0001DFA
-:0403F30000F1001EF7
-:0403F40000F2001EF5
-:0403F50000F3001EF3
-:0403F60000F4001EF1
-:0403F70000F5001EEF
-:0403F80000F6001EED
-:0403F90000F7001EEB
-:0403FA0000F8001EE9
-:0403FB0000F9001FE6
-:0403FC0000FA001FE4
-:0403FD0000FB001FE2
-:0403FE0000FC001FE0
-:0403FF0000FD001FDE
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_7.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_7.hex
index 20669247330502722d96b0135c0befd93d51fb97..aa5486887664bd7354c84d0e74de9d4805e407df 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_7.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_7.hex
@@ -62,964 +62,4 @@
 :04003D0000FB0023A1
 :04003E0000FC00239F
 :04003F0000FD00239D
-:0400400000BE001BE3
-:0400410000BF001BE1
-:0400420000C0001BDF
-:0400430000C1001BDD
-:0400440000C2001BDB
-:0400450000C3001BD9
-:0400460000C4001CD6
-:0400470000C5001CD4
-:0400480000C6001CD2
-:0400490000C7001CD0
-:04004A0000C8001CCE
-:04004B0000C9001CCC
-:04004C0000CA001CCA
-:04004D0000CB001DC7
-:04004E0000CC001DC5
-:04004F0000CD001DC3
-:0400500000CE001DC1
-:0400510000CF001DBF
-:0400520000D0001DBD
-:0400530000D1001DBB
-:0400540000D2001EB8
-:0400550000D3001EB6
-:0400560000D4001EB4
-:0400570000D5001EB2
-:0400580000D6001EB0
-:0400590000D7001EAE
-:04005A0000D8001EAC
-:04005B0000D9001EAA
-:04005C0000DA001FA7
-:04005D0000DB001FA5
-:04005E0000DC001FA3
-:04005F0000DD001FA1
-:0400600000DE001F9F
-:0400610000DF001F9D
-:0400620000E0001F9B
-:0400630000E1002098
-:0400640000E2002096
-:0400650000E3002094
-:0400660000E4002092
-:0400670000E5002090
-:0400680000E600208E
-:0400690000E700208C
-:04006A0000E8002189
-:04006B0000E9002187
-:04006C0000EA002185
-:04006D0000EB002183
-:04006E0000EC002181
-:04006F0000ED00217F
-:0400700000EE00217D
-:0400710000EF00227A
-:0400720000F0002278
-:0400730000F1002276
-:0400740000F2002274
-:0400750000F3002272
-:0400760000F4002270
-:0400770000F500226E
-:0400780000F600236B
-:0400790000F7002369
-:04007A0000F8002367
-:04007B0000F9002365
-:04007C0000FA002363
-:04007D0000FB002361
-:04007E0000FC00235F
-:04007F0000FD00235D
-:0400800000BE001BA3
-:0400810000BF001BA1
-:0400820000C0001B9F
-:0400830000C1001B9D
-:0400840000C2001B9B
-:0400850000C3001B99
-:0400860000C4001C96
-:0400870000C5001C94
-:0400880000C6001C92
-:0400890000C7001C90
-:04008A0000C8001C8E
-:04008B0000C9001C8C
-:04008C0000CA001C8A
-:04008D0000CB001D87
-:04008E0000CC001D85
-:04008F0000CD001D83
-:0400900000CE001D81
-:0400910000CF001D7F
-:0400920000D0001D7D
-:0400930000D1001D7B
-:0400940000D2001E78
-:0400950000D3001E76
-:0400960000D4001E74
-:0400970000D5001E72
-:0400980000D6001E70
-:0400990000D7001E6E
-:04009A0000D8001E6C
-:04009B0000D9001E6A
-:04009C0000DA001F67
-:04009D0000DB001F65
-:04009E0000DC001F63
-:04009F0000DD001F61
-:0400A00000DE001F5F
-:0400A10000DF001F5D
-:0400A20000E0001F5B
-:0400A30000E1002058
-:0400A40000E2002056
-:0400A50000E3002054
-:0400A60000E4002052
-:0400A70000E5002050
-:0400A80000E600204E
-:0400A90000E700204C
-:0400AA0000E8002149
-:0400AB0000E9002147
-:0400AC0000EA002145
-:0400AD0000EB002143
-:0400AE0000EC002141
-:0400AF0000ED00213F
-:0400B00000EE00213D
-:0400B10000EF00223A
-:0400B20000F0002238
-:0400B30000F1002236
-:0400B40000F2002234
-:0400B50000F3002232
-:0400B60000F4002230
-:0400B70000F500222E
-:0400B80000F600232B
-:0400B90000F7002329
-:0400BA0000F8002327
-:0400BB0000F9002325
-:0400BC0000FA002323
-:0400BD0000FB002321
-:0400BE0000FC00231F
-:0400BF0000FD00231D
-:0400C00000BE001B63
-:0400C10000BF001B61
-:0400C20000C0001B5F
-:0400C30000C1001B5D
-:0400C40000C2001B5B
-:0400C50000C3001B59
-:0400C60000C4001C56
-:0400C70000C5001C54
-:0400C80000C6001C52
-:0400C90000C7001C50
-:0400CA0000C8001C4E
-:0400CB0000C9001C4C
-:0400CC0000CA001C4A
-:0400CD0000CB001D47
-:0400CE0000CC001D45
-:0400CF0000CD001D43
-:0400D00000CE001D41
-:0400D10000CF001D3F
-:0400D20000D0001D3D
-:0400D30000D1001D3B
-:0400D40000D2001E38
-:0400D50000D3001E36
-:0400D60000D4001E34
-:0400D70000D5001E32
-:0400D80000D6001E30
-:0400D90000D7001E2E
-:0400DA0000D8001E2C
-:0400DB0000D9001E2A
-:0400DC0000DA001F27
-:0400DD0000DB001F25
-:0400DE0000DC001F23
-:0400DF0000DD001F21
-:0400E00000DE001F1F
-:0400E10000DF001F1D
-:0400E20000E0001F1B
-:0400E30000E1002018
-:0400E40000E2002016
-:0400E50000E3002014
-:0400E60000E4002012
-:0400E70000E5002010
-:0400E80000E600200E
-:0400E90000E700200C
-:0400EA0000E8002109
-:0400EB0000E9002107
-:0400EC0000EA002105
-:0400ED0000EB002103
-:0400EE0000EC002101
-:0400EF0000ED0021FF
-:0400F00000EE0021FD
-:0400F10000EF0022FA
-:0400F20000F00022F8
-:0400F30000F10022F6
-:0400F40000F20022F4
-:0400F50000F30022F2
-:0400F60000F40022F0
-:0400F70000F50022EE
-:0400F80000F60023EB
-:0400F90000F70023E9
-:0400FA0000F80023E7
-:0400FB0000F90023E5
-:0400FC0000FA0023E3
-:0400FD0000FB0023E1
-:0400FE0000FC0023DF
-:0400FF0000FD0023DD
-:0401000000BE001B22
-:0401010000BF001B20
-:0401020000C0001B1E
-:0401030000C1001B1C
-:0401040000C2001B1A
-:0401050000C3001B18
-:0401060000C4001C15
-:0401070000C5001C13
-:0401080000C6001C11
-:0401090000C7001C0F
-:04010A0000C8001C0D
-:04010B0000C9001C0B
-:04010C0000CA001C09
-:04010D0000CB001D06
-:04010E0000CC001D04
-:04010F0000CD001D02
-:0401100000CE001D00
-:0401110000CF001DFE
-:0401120000D0001DFC
-:0401130000D1001DFA
-:0401140000D2001EF7
-:0401150000D3001EF5
-:0401160000D4001EF3
-:0401170000D5001EF1
-:0401180000D6001EEF
-:0401190000D7001EED
-:04011A0000D8001EEB
-:04011B0000D9001EE9
-:04011C0000DA001FE6
-:04011D0000DB001FE4
-:04011E0000DC001FE2
-:04011F0000DD001FE0
-:0401200000DE001FDE
-:0401210000DF001FDC
-:0401220000E0001FDA
-:0401230000E10020D7
-:0401240000E20020D5
-:0401250000E30020D3
-:0401260000E40020D1
-:0401270000E50020CF
-:0401280000E60020CD
-:0401290000E70020CB
-:04012A0000E80021C8
-:04012B0000E90021C6
-:04012C0000EA0021C4
-:04012D0000EB0021C2
-:04012E0000EC0021C0
-:04012F0000ED0021BE
-:0401300000EE0021BC
-:0401310000EF0022B9
-:0401320000F00022B7
-:0401330000F10022B5
-:0401340000F20022B3
-:0401350000F30022B1
-:0401360000F40022AF
-:0401370000F50022AD
-:0401380000F60023AA
-:0401390000F70023A8
-:04013A0000F80023A6
-:04013B0000F90023A4
-:04013C0000FA0023A2
-:04013D0000FB0023A0
-:04013E0000FC00239E
-:04013F0000FD00239C
-:0401400000BE001BE2
-:0401410000BF001BE0
-:0401420000C0001BDE
-:0401430000C1001BDC
-:0401440000C2001BDA
-:0401450000C3001BD8
-:0401460000C4001CD5
-:0401470000C5001CD3
-:0401480000C6001CD1
-:0401490000C7001CCF
-:04014A0000C8001CCD
-:04014B0000C9001CCB
-:04014C0000CA001CC9
-:04014D0000CB001DC6
-:04014E0000CC001DC4
-:04014F0000CD001DC2
-:0401500000CE001DC0
-:0401510000CF001DBE
-:0401520000D0001DBC
-:0401530000D1001DBA
-:0401540000D2001EB7
-:0401550000D3001EB5
-:0401560000D4001EB3
-:0401570000D5001EB1
-:0401580000D6001EAF
-:0401590000D7001EAD
-:04015A0000D8001EAB
-:04015B0000D9001EA9
-:04015C0000DA001FA6
-:04015D0000DB001FA4
-:04015E0000DC001FA2
-:04015F0000DD001FA0
-:0401600000DE001F9E
-:0401610000DF001F9C
-:0401620000E0001F9A
-:0401630000E1002097
-:0401640000E2002095
-:0401650000E3002093
-:0401660000E4002091
-:0401670000E500208F
-:0401680000E600208D
-:0401690000E700208B
-:04016A0000E8002188
-:04016B0000E9002186
-:04016C0000EA002184
-:04016D0000EB002182
-:04016E0000EC002180
-:04016F0000ED00217E
-:0401700000EE00217C
-:0401710000EF002279
-:0401720000F0002277
-:0401730000F1002275
-:0401740000F2002273
-:0401750000F3002271
-:0401760000F400226F
-:0401770000F500226D
-:0401780000F600236A
-:0401790000F7002368
-:04017A0000F8002366
-:04017B0000F9002364
-:04017C0000FA002362
-:04017D0000FB002360
-:04017E0000FC00235E
-:04017F0000FD00235C
-:0401800000BE001BA2
-:0401810000BF001BA0
-:0401820000C0001B9E
-:0401830000C1001B9C
-:0401840000C2001B9A
-:0401850000C3001B98
-:0401860000C4001C95
-:0401870000C5001C93
-:0401880000C6001C91
-:0401890000C7001C8F
-:04018A0000C8001C8D
-:04018B0000C9001C8B
-:04018C0000CA001C89
-:04018D0000CB001D86
-:04018E0000CC001D84
-:04018F0000CD001D82
-:0401900000CE001D80
-:0401910000CF001D7E
-:0401920000D0001D7C
-:0401930000D1001D7A
-:0401940000D2001E77
-:0401950000D3001E75
-:0401960000D4001E73
-:0401970000D5001E71
-:0401980000D6001E6F
-:0401990000D7001E6D
-:04019A0000D8001E6B
-:04019B0000D9001E69
-:04019C0000DA001F66
-:04019D0000DB001F64
-:04019E0000DC001F62
-:04019F0000DD001F60
-:0401A00000DE001F5E
-:0401A10000DF001F5C
-:0401A20000E0001F5A
-:0401A30000E1002057
-:0401A40000E2002055
-:0401A50000E3002053
-:0401A60000E4002051
-:0401A70000E500204F
-:0401A80000E600204D
-:0401A90000E700204B
-:0401AA0000E8002148
-:0401AB0000E9002146
-:0401AC0000EA002144
-:0401AD0000EB002142
-:0401AE0000EC002140
-:0401AF0000ED00213E
-:0401B00000EE00213C
-:0401B10000EF002239
-:0401B20000F0002237
-:0401B30000F1002235
-:0401B40000F2002233
-:0401B50000F3002231
-:0401B60000F400222F
-:0401B70000F500222D
-:0401B80000F600232A
-:0401B90000F7002328
-:0401BA0000F8002326
-:0401BB0000F9002324
-:0401BC0000FA002322
-:0401BD0000FB002320
-:0401BE0000FC00231E
-:0401BF0000FD00231C
-:0401C00000BE001B62
-:0401C10000BF001B60
-:0401C20000C0001B5E
-:0401C30000C1001B5C
-:0401C40000C2001B5A
-:0401C50000C3001B58
-:0401C60000C4001C55
-:0401C70000C5001C53
-:0401C80000C6001C51
-:0401C90000C7001C4F
-:0401CA0000C8001C4D
-:0401CB0000C9001C4B
-:0401CC0000CA001C49
-:0401CD0000CB001D46
-:0401CE0000CC001D44
-:0401CF0000CD001D42
-:0401D00000CE001D40
-:0401D10000CF001D3E
-:0401D20000D0001D3C
-:0401D30000D1001D3A
-:0401D40000D2001E37
-:0401D50000D3001E35
-:0401D60000D4001E33
-:0401D70000D5001E31
-:0401D80000D6001E2F
-:0401D90000D7001E2D
-:0401DA0000D8001E2B
-:0401DB0000D9001E29
-:0401DC0000DA001F26
-:0401DD0000DB001F24
-:0401DE0000DC001F22
-:0401DF0000DD001F20
-:0401E00000DE001F1E
-:0401E10000DF001F1C
-:0401E20000E0001F1A
-:0401E30000E1002017
-:0401E40000E2002015
-:0401E50000E3002013
-:0401E60000E4002011
-:0401E70000E500200F
-:0401E80000E600200D
-:0401E90000E700200B
-:0401EA0000E8002108
-:0401EB0000E9002106
-:0401EC0000EA002104
-:0401ED0000EB002102
-:0401EE0000EC002100
-:0401EF0000ED0021FE
-:0401F00000EE0021FC
-:0401F10000EF0022F9
-:0401F20000F00022F7
-:0401F30000F10022F5
-:0401F40000F20022F3
-:0401F50000F30022F1
-:0401F60000F40022EF
-:0401F70000F50022ED
-:0401F80000F60023EA
-:0401F90000F70023E8
-:0401FA0000F80023E6
-:0401FB0000F90023E4
-:0401FC0000FA0023E2
-:0401FD0000FB0023E0
-:0401FE0000FC0023DE
-:0401FF0000FD0023DC
-:0402000000BE001B21
-:0402010000BF001B1F
-:0402020000C0001B1D
-:0402030000C1001B1B
-:0402040000C2001B19
-:0402050000C3001B17
-:0402060000C4001C14
-:0402070000C5001C12
-:0402080000C6001C10
-:0402090000C7001C0E
-:04020A0000C8001C0C
-:04020B0000C9001C0A
-:04020C0000CA001C08
-:04020D0000CB001D05
-:04020E0000CC001D03
-:04020F0000CD001D01
-:0402100000CE001DFF
-:0402110000CF001DFD
-:0402120000D0001DFB
-:0402130000D1001DF9
-:0402140000D2001EF6
-:0402150000D3001EF4
-:0402160000D4001EF2
-:0402170000D5001EF0
-:0402180000D6001EEE
-:0402190000D7001EEC
-:04021A0000D8001EEA
-:04021B0000D9001EE8
-:04021C0000DA001FE5
-:04021D0000DB001FE3
-:04021E0000DC001FE1
-:04021F0000DD001FDF
-:0402200000DE001FDD
-:0402210000DF001FDB
-:0402220000E0001FD9
-:0402230000E10020D6
-:0402240000E20020D4
-:0402250000E30020D2
-:0402260000E40020D0
-:0402270000E50020CE
-:0402280000E60020CC
-:0402290000E70020CA
-:04022A0000E80021C7
-:04022B0000E90021C5
-:04022C0000EA0021C3
-:04022D0000EB0021C1
-:04022E0000EC0021BF
-:04022F0000ED0021BD
-:0402300000EE0021BB
-:0402310000EF0022B8
-:0402320000F00022B6
-:0402330000F10022B4
-:0402340000F20022B2
-:0402350000F30022B0
-:0402360000F40022AE
-:0402370000F50022AC
-:0402380000F60023A9
-:0402390000F70023A7
-:04023A0000F80023A5
-:04023B0000F90023A3
-:04023C0000FA0023A1
-:04023D0000FB00239F
-:04023E0000FC00239D
-:04023F0000FD00239B
-:0402400000BE001BE1
-:0402410000BF001BDF
-:0402420000C0001BDD
-:0402430000C1001BDB
-:0402440000C2001BD9
-:0402450000C3001BD7
-:0402460000C4001CD4
-:0402470000C5001CD2
-:0402480000C6001CD0
-:0402490000C7001CCE
-:04024A0000C8001CCC
-:04024B0000C9001CCA
-:04024C0000CA001CC8
-:04024D0000CB001DC5
-:04024E0000CC001DC3
-:04024F0000CD001DC1
-:0402500000CE001DBF
-:0402510000CF001DBD
-:0402520000D0001DBB
-:0402530000D1001DB9
-:0402540000D2001EB6
-:0402550000D3001EB4
-:0402560000D4001EB2
-:0402570000D5001EB0
-:0402580000D6001EAE
-:0402590000D7001EAC
-:04025A0000D8001EAA
-:04025B0000D9001EA8
-:04025C0000DA001FA5
-:04025D0000DB001FA3
-:04025E0000DC001FA1
-:04025F0000DD001F9F
-:0402600000DE001F9D
-:0402610000DF001F9B
-:0402620000E0001F99
-:0402630000E1002096
-:0402640000E2002094
-:0402650000E3002092
-:0402660000E4002090
-:0402670000E500208E
-:0402680000E600208C
-:0402690000E700208A
-:04026A0000E8002187
-:04026B0000E9002185
-:04026C0000EA002183
-:04026D0000EB002181
-:04026E0000EC00217F
-:04026F0000ED00217D
-:0402700000EE00217B
-:0402710000EF002278
-:0402720000F0002276
-:0402730000F1002274
-:0402740000F2002272
-:0402750000F3002270
-:0402760000F400226E
-:0402770000F500226C
-:0402780000F6002369
-:0402790000F7002367
-:04027A0000F8002365
-:04027B0000F9002363
-:04027C0000FA002361
-:04027D0000FB00235F
-:04027E0000FC00235D
-:04027F0000FD00235B
-:0402800000BE001BA1
-:0402810000BF001B9F
-:0402820000C0001B9D
-:0402830000C1001B9B
-:0402840000C2001B99
-:0402850000C3001B97
-:0402860000C4001C94
-:0402870000C5001C92
-:0402880000C6001C90
-:0402890000C7001C8E
-:04028A0000C8001C8C
-:04028B0000C9001C8A
-:04028C0000CA001C88
-:04028D0000CB001D85
-:04028E0000CC001D83
-:04028F0000CD001D81
-:0402900000CE001D7F
-:0402910000CF001D7D
-:0402920000D0001D7B
-:0402930000D1001D79
-:0402940000D2001E76
-:0402950000D3001E74
-:0402960000D4001E72
-:0402970000D5001E70
-:0402980000D6001E6E
-:0402990000D7001E6C
-:04029A0000D8001E6A
-:04029B0000D9001E68
-:04029C0000DA001F65
-:04029D0000DB001F63
-:04029E0000DC001F61
-:04029F0000DD001F5F
-:0402A00000DE001F5D
-:0402A10000DF001F5B
-:0402A20000E0001F59
-:0402A30000E1002056
-:0402A40000E2002054
-:0402A50000E3002052
-:0402A60000E4002050
-:0402A70000E500204E
-:0402A80000E600204C
-:0402A90000E700204A
-:0402AA0000E8002147
-:0402AB0000E9002145
-:0402AC0000EA002143
-:0402AD0000EB002141
-:0402AE0000EC00213F
-:0402AF0000ED00213D
-:0402B00000EE00213B
-:0402B10000EF002238
-:0402B20000F0002236
-:0402B30000F1002234
-:0402B40000F2002232
-:0402B50000F3002230
-:0402B60000F400222E
-:0402B70000F500222C
-:0402B80000F6002329
-:0402B90000F7002327
-:0402BA0000F8002325
-:0402BB0000F9002323
-:0402BC0000FA002321
-:0402BD0000FB00231F
-:0402BE0000FC00231D
-:0402BF0000FD00231B
-:0402C00000BE001B61
-:0402C10000BF001B5F
-:0402C20000C0001B5D
-:0402C30000C1001B5B
-:0402C40000C2001B59
-:0402C50000C3001B57
-:0402C60000C4001C54
-:0402C70000C5001C52
-:0402C80000C6001C50
-:0402C90000C7001C4E
-:0402CA0000C8001C4C
-:0402CB0000C9001C4A
-:0402CC0000CA001C48
-:0402CD0000CB001D45
-:0402CE0000CC001D43
-:0402CF0000CD001D41
-:0402D00000CE001D3F
-:0402D10000CF001D3D
-:0402D20000D0001D3B
-:0402D30000D1001D39
-:0402D40000D2001E36
-:0402D50000D3001E34
-:0402D60000D4001E32
-:0402D70000D5001E30
-:0402D80000D6001E2E
-:0402D90000D7001E2C
-:0402DA0000D8001E2A
-:0402DB0000D9001E28
-:0402DC0000DA001F25
-:0402DD0000DB001F23
-:0402DE0000DC001F21
-:0402DF0000DD001F1F
-:0402E00000DE001F1D
-:0402E10000DF001F1B
-:0402E20000E0001F19
-:0402E30000E1002016
-:0402E40000E2002014
-:0402E50000E3002012
-:0402E60000E4002010
-:0402E70000E500200E
-:0402E80000E600200C
-:0402E90000E700200A
-:0402EA0000E8002107
-:0402EB0000E9002105
-:0402EC0000EA002103
-:0402ED0000EB002101
-:0402EE0000EC0021FF
-:0402EF0000ED0021FD
-:0402F00000EE0021FB
-:0402F10000EF0022F8
-:0402F20000F00022F6
-:0402F30000F10022F4
-:0402F40000F20022F2
-:0402F50000F30022F0
-:0402F60000F40022EE
-:0402F70000F50022EC
-:0402F80000F60023E9
-:0402F90000F70023E7
-:0402FA0000F80023E5
-:0402FB0000F90023E3
-:0402FC0000FA0023E1
-:0402FD0000FB0023DF
-:0402FE0000FC0023DD
-:0402FF0000FD0023DB
-:0403000000BE001B20
-:0403010000BF001B1E
-:0403020000C0001B1C
-:0403030000C1001B1A
-:0403040000C2001B18
-:0403050000C3001B16
-:0403060000C4001C13
-:0403070000C5001C11
-:0403080000C6001C0F
-:0403090000C7001C0D
-:04030A0000C8001C0B
-:04030B0000C9001C09
-:04030C0000CA001C07
-:04030D0000CB001D04
-:04030E0000CC001D02
-:04030F0000CD001D00
-:0403100000CE001DFE
-:0403110000CF001DFC
-:0403120000D0001DFA
-:0403130000D1001DF8
-:0403140000D2001EF5
-:0403150000D3001EF3
-:0403160000D4001EF1
-:0403170000D5001EEF
-:0403180000D6001EED
-:0403190000D7001EEB
-:04031A0000D8001EE9
-:04031B0000D9001EE7
-:04031C0000DA001FE4
-:04031D0000DB001FE2
-:04031E0000DC001FE0
-:04031F0000DD001FDE
-:0403200000DE001FDC
-:0403210000DF001FDA
-:0403220000E0001FD8
-:0403230000E10020D5
-:0403240000E20020D3
-:0403250000E30020D1
-:0403260000E40020CF
-:0403270000E50020CD
-:0403280000E60020CB
-:0403290000E70020C9
-:04032A0000E80021C6
-:04032B0000E90021C4
-:04032C0000EA0021C2
-:04032D0000EB0021C0
-:04032E0000EC0021BE
-:04032F0000ED0021BC
-:0403300000EE0021BA
-:0403310000EF0022B7
-:0403320000F00022B5
-:0403330000F10022B3
-:0403340000F20022B1
-:0403350000F30022AF
-:0403360000F40022AD
-:0403370000F50022AB
-:0403380000F60023A8
-:0403390000F70023A6
-:04033A0000F80023A4
-:04033B0000F90023A2
-:04033C0000FA0023A0
-:04033D0000FB00239E
-:04033E0000FC00239C
-:04033F0000FD00239A
-:0403400000BE001BE0
-:0403410000BF001BDE
-:0403420000C0001BDC
-:0403430000C1001BDA
-:0403440000C2001BD8
-:0403450000C3001BD6
-:0403460000C4001CD3
-:0403470000C5001CD1
-:0403480000C6001CCF
-:0403490000C7001CCD
-:04034A0000C8001CCB
-:04034B0000C9001CC9
-:04034C0000CA001CC7
-:04034D0000CB001DC4
-:04034E0000CC001DC2
-:04034F0000CD001DC0
-:0403500000CE001DBE
-:0403510000CF001DBC
-:0403520000D0001DBA
-:0403530000D1001DB8
-:0403540000D2001EB5
-:0403550000D3001EB3
-:0403560000D4001EB1
-:0403570000D5001EAF
-:0403580000D6001EAD
-:0403590000D7001EAB
-:04035A0000D8001EA9
-:04035B0000D9001EA7
-:04035C0000DA001FA4
-:04035D0000DB001FA2
-:04035E0000DC001FA0
-:04035F0000DD001F9E
-:0403600000DE001F9C
-:0403610000DF001F9A
-:0403620000E0001F98
-:0403630000E1002095
-:0403640000E2002093
-:0403650000E3002091
-:0403660000E400208F
-:0403670000E500208D
-:0403680000E600208B
-:0403690000E7002089
-:04036A0000E8002186
-:04036B0000E9002184
-:04036C0000EA002182
-:04036D0000EB002180
-:04036E0000EC00217E
-:04036F0000ED00217C
-:0403700000EE00217A
-:0403710000EF002277
-:0403720000F0002275
-:0403730000F1002273
-:0403740000F2002271
-:0403750000F300226F
-:0403760000F400226D
-:0403770000F500226B
-:0403780000F6002368
-:0403790000F7002366
-:04037A0000F8002364
-:04037B0000F9002362
-:04037C0000FA002360
-:04037D0000FB00235E
-:04037E0000FC00235C
-:04037F0000FD00235A
-:0403800000BE001BA0
-:0403810000BF001B9E
-:0403820000C0001B9C
-:0403830000C1001B9A
-:0403840000C2001B98
-:0403850000C3001B96
-:0403860000C4001C93
-:0403870000C5001C91
-:0403880000C6001C8F
-:0403890000C7001C8D
-:04038A0000C8001C8B
-:04038B0000C9001C89
-:04038C0000CA001C87
-:04038D0000CB001D84
-:04038E0000CC001D82
-:04038F0000CD001D80
-:0403900000CE001D7E
-:0403910000CF001D7C
-:0403920000D0001D7A
-:0403930000D1001D78
-:0403940000D2001E75
-:0403950000D3001E73
-:0403960000D4001E71
-:0403970000D5001E6F
-:0403980000D6001E6D
-:0403990000D7001E6B
-:04039A0000D8001E69
-:04039B0000D9001E67
-:04039C0000DA001F64
-:04039D0000DB001F62
-:04039E0000DC001F60
-:04039F0000DD001F5E
-:0403A00000DE001F5C
-:0403A10000DF001F5A
-:0403A20000E0001F58
-:0403A30000E1002055
-:0403A40000E2002053
-:0403A50000E3002051
-:0403A60000E400204F
-:0403A70000E500204D
-:0403A80000E600204B
-:0403A90000E7002049
-:0403AA0000E8002146
-:0403AB0000E9002144
-:0403AC0000EA002142
-:0403AD0000EB002140
-:0403AE0000EC00213E
-:0403AF0000ED00213C
-:0403B00000EE00213A
-:0403B10000EF002237
-:0403B20000F0002235
-:0403B30000F1002233
-:0403B40000F2002231
-:0403B50000F300222F
-:0403B60000F400222D
-:0403B70000F500222B
-:0403B80000F6002328
-:0403B90000F7002326
-:0403BA0000F8002324
-:0403BB0000F9002322
-:0403BC0000FA002320
-:0403BD0000FB00231E
-:0403BE0000FC00231C
-:0403BF0000FD00231A
-:0403C00000BE001B60
-:0403C10000BF001B5E
-:0403C20000C0001B5C
-:0403C30000C1001B5A
-:0403C40000C2001B58
-:0403C50000C3001B56
-:0403C60000C4001C53
-:0403C70000C5001C51
-:0403C80000C6001C4F
-:0403C90000C7001C4D
-:0403CA0000C8001C4B
-:0403CB0000C9001C49
-:0403CC0000CA001C47
-:0403CD0000CB001D44
-:0403CE0000CC001D42
-:0403CF0000CD001D40
-:0403D00000CE001D3E
-:0403D10000CF001D3C
-:0403D20000D0001D3A
-:0403D30000D1001D38
-:0403D40000D2001E35
-:0403D50000D3001E33
-:0403D60000D4001E31
-:0403D70000D5001E2F
-:0403D80000D6001E2D
-:0403D90000D7001E2B
-:0403DA0000D8001E29
-:0403DB0000D9001E27
-:0403DC0000DA001F24
-:0403DD0000DB001F22
-:0403DE0000DC001F20
-:0403DF0000DD001F1E
-:0403E00000DE001F1C
-:0403E10000DF001F1A
-:0403E20000E0001F18
-:0403E30000E1002015
-:0403E40000E2002013
-:0403E50000E3002011
-:0403E60000E400200F
-:0403E70000E500200D
-:0403E80000E600200B
-:0403E90000E7002009
-:0403EA0000E8002106
-:0403EB0000E9002104
-:0403EC0000EA002102
-:0403ED0000EB002100
-:0403EE0000EC0021FE
-:0403EF0000ED0021FC
-:0403F00000EE0021FA
-:0403F10000EF0022F7
-:0403F20000F00022F5
-:0403F30000F10022F3
-:0403F40000F20022F1
-:0403F50000F30022EF
-:0403F60000F40022ED
-:0403F70000F50022EB
-:0403F80000F60023E8
-:0403F90000F70023E6
-:0403FA0000F80023E4
-:0403FB0000F90023E2
-:0403FC0000FA0023E0
-:0403FD0000FB0023DE
-:0403FE0000FC0023DC
-:0403FF0000FD0023DA
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_8.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_8.hex
index e3acf632a1948fe8ab04f3ab6393fd154fd88dd8..d3a930c87056b91cd45b54ff694c2e149eb5227e 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_8.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_8.hex
@@ -62,964 +62,4 @@
 :04003D0000FA00289D
 :04003E0000FB00289B
 :04003F0000FC002899
-:0400400000BE001EE0
-:0400410000BF001EDE
-:0400420000C0001EDC
-:0400430000C1001FD9
-:0400440000C2001FD7
-:0400450000C3001FD5
-:0400460000C4001FD3
-:0400470000C5001FD1
-:0400480000C6001FCF
-:0400490000C7001FCD
-:04004A0000C80020CA
-:04004B0000C90020C8
-:04004C0000C90020C7
-:04004D0000CA0020C5
-:04004E0000CB0020C3
-:04004F0000CC0020C1
-:0400500000CD0021BE
-:0400510000CE0021BC
-:0400520000CF0021BA
-:0400530000D00021B8
-:0400540000D10021B6
-:0400550000D20021B4
-:0400560000D30021B2
-:0400570000D40022AF
-:0400580000D50022AD
-:0400590000D60022AB
-:04005A0000D70022A9
-:04005B0000D80022A7
-:04005C0000D90022A5
-:04005D0000DA0023A2
-:04005E0000DB0023A0
-:04005F0000DC00239E
-:0400600000DD00239C
-:0400610000DE00239A
-:0400620000DF002398
-:0400630000E0002495
-:0400640000E1002493
-:0400650000E2002491
-:0400660000E300248F
-:0400670000E400248D
-:0400680000E500248B
-:0400690000E6002489
-:04006A0000E7002586
-:04006B0000E8002584
-:04006C0000E9002582
-:04006D0000EA002580
-:04006E0000EB00257E
-:04006F0000EC00257C
-:0400700000ED002679
-:0400710000EE002677
-:0400720000EF002675
-:0400730000F0002673
-:0400740000F1002671
-:0400750000F200266F
-:0400760000F300266D
-:0400770000F400276A
-:0400780000F5002768
-:0400790000F6002766
-:04007A0000F7002764
-:04007B0000F8002762
-:04007C0000F9002760
-:04007D0000FA00285D
-:04007E0000FB00285B
-:04007F0000FC002859
-:0400800000BE001EA0
-:0400810000BF001E9E
-:0400820000C0001E9C
-:0400830000C1001F99
-:0400840000C2001F97
-:0400850000C3001F95
-:0400860000C4001F93
-:0400870000C5001F91
-:0400880000C6001F8F
-:0400890000C7001F8D
-:04008A0000C800208A
-:04008B0000C9002088
-:04008C0000C9002087
-:04008D0000CA002085
-:04008E0000CB002083
-:04008F0000CC002081
-:0400900000CD00217E
-:0400910000CE00217C
-:0400920000CF00217A
-:0400930000D0002178
-:0400940000D1002176
-:0400950000D2002174
-:0400960000D3002172
-:0400970000D400226F
-:0400980000D500226D
-:0400990000D600226B
-:04009A0000D7002269
-:04009B0000D8002267
-:04009C0000D9002265
-:04009D0000DA002362
-:04009E0000DB002360
-:04009F0000DC00235E
-:0400A00000DD00235C
-:0400A10000DE00235A
-:0400A20000DF002358
-:0400A30000E0002455
-:0400A40000E1002453
-:0400A50000E2002451
-:0400A60000E300244F
-:0400A70000E400244D
-:0400A80000E500244B
-:0400A90000E6002449
-:0400AA0000E7002546
-:0400AB0000E8002544
-:0400AC0000E9002542
-:0400AD0000EA002540
-:0400AE0000EB00253E
-:0400AF0000EC00253C
-:0400B00000ED002639
-:0400B10000EE002637
-:0400B20000EF002635
-:0400B30000F0002633
-:0400B40000F1002631
-:0400B50000F200262F
-:0400B60000F300262D
-:0400B70000F400272A
-:0400B80000F5002728
-:0400B90000F6002726
-:0400BA0000F7002724
-:0400BB0000F8002722
-:0400BC0000F9002720
-:0400BD0000FA00281D
-:0400BE0000FB00281B
-:0400BF0000FC002819
-:0400C00000BE001E60
-:0400C10000BF001E5E
-:0400C20000C0001E5C
-:0400C30000C1001F59
-:0400C40000C2001F57
-:0400C50000C3001F55
-:0400C60000C4001F53
-:0400C70000C5001F51
-:0400C80000C6001F4F
-:0400C90000C7001F4D
-:0400CA0000C800204A
-:0400CB0000C9002048
-:0400CC0000C9002047
-:0400CD0000CA002045
-:0400CE0000CB002043
-:0400CF0000CC002041
-:0400D00000CD00213E
-:0400D10000CE00213C
-:0400D20000CF00213A
-:0400D30000D0002138
-:0400D40000D1002136
-:0400D50000D2002134
-:0400D60000D3002132
-:0400D70000D400222F
-:0400D80000D500222D
-:0400D90000D600222B
-:0400DA0000D7002229
-:0400DB0000D8002227
-:0400DC0000D9002225
-:0400DD0000DA002322
-:0400DE0000DB002320
-:0400DF0000DC00231E
-:0400E00000DD00231C
-:0400E10000DE00231A
-:0400E20000DF002318
-:0400E30000E0002415
-:0400E40000E1002413
-:0400E50000E2002411
-:0400E60000E300240F
-:0400E70000E400240D
-:0400E80000E500240B
-:0400E90000E6002409
-:0400EA0000E7002506
-:0400EB0000E8002504
-:0400EC0000E9002502
-:0400ED0000EA002500
-:0400EE0000EB0025FE
-:0400EF0000EC0025FC
-:0400F00000ED0026F9
-:0400F10000EE0026F7
-:0400F20000EF0026F5
-:0400F30000F00026F3
-:0400F40000F10026F1
-:0400F50000F20026EF
-:0400F60000F30026ED
-:0400F70000F40027EA
-:0400F80000F50027E8
-:0400F90000F60027E6
-:0400FA0000F70027E4
-:0400FB0000F80027E2
-:0400FC0000F90027E0
-:0400FD0000FA0028DD
-:0400FE0000FB0028DB
-:0400FF0000FC0028D9
-:0401000000BE001E1F
-:0401010000BF001E1D
-:0401020000C0001E1B
-:0401030000C1001F18
-:0401040000C2001F16
-:0401050000C3001F14
-:0401060000C4001F12
-:0401070000C5001F10
-:0401080000C6001F0E
-:0401090000C7001F0C
-:04010A0000C8002009
-:04010B0000C9002007
-:04010C0000C9002006
-:04010D0000CA002004
-:04010E0000CB002002
-:04010F0000CC002000
-:0401100000CD0021FD
-:0401110000CE0021FB
-:0401120000CF0021F9
-:0401130000D00021F7
-:0401140000D10021F5
-:0401150000D20021F3
-:0401160000D30021F1
-:0401170000D40022EE
-:0401180000D50022EC
-:0401190000D60022EA
-:04011A0000D70022E8
-:04011B0000D80022E6
-:04011C0000D90022E4
-:04011D0000DA0023E1
-:04011E0000DB0023DF
-:04011F0000DC0023DD
-:0401200000DD0023DB
-:0401210000DE0023D9
-:0401220000DF0023D7
-:0401230000E00024D4
-:0401240000E10024D2
-:0401250000E20024D0
-:0401260000E30024CE
-:0401270000E40024CC
-:0401280000E50024CA
-:0401290000E60024C8
-:04012A0000E70025C5
-:04012B0000E80025C3
-:04012C0000E90025C1
-:04012D0000EA0025BF
-:04012E0000EB0025BD
-:04012F0000EC0025BB
-:0401300000ED0026B8
-:0401310000EE0026B6
-:0401320000EF0026B4
-:0401330000F00026B2
-:0401340000F10026B0
-:0401350000F20026AE
-:0401360000F30026AC
-:0401370000F40027A9
-:0401380000F50027A7
-:0401390000F60027A5
-:04013A0000F70027A3
-:04013B0000F80027A1
-:04013C0000F900279F
-:04013D0000FA00289C
-:04013E0000FB00289A
-:04013F0000FC002898
-:0401400000BE001EDF
-:0401410000BF001EDD
-:0401420000C0001EDB
-:0401430000C1001FD8
-:0401440000C2001FD6
-:0401450000C3001FD4
-:0401460000C4001FD2
-:0401470000C5001FD0
-:0401480000C6001FCE
-:0401490000C7001FCC
-:04014A0000C80020C9
-:04014B0000C90020C7
-:04014C0000C90020C6
-:04014D0000CA0020C4
-:04014E0000CB0020C2
-:04014F0000CC0020C0
-:0401500000CD0021BD
-:0401510000CE0021BB
-:0401520000CF0021B9
-:0401530000D00021B7
-:0401540000D10021B5
-:0401550000D20021B3
-:0401560000D30021B1
-:0401570000D40022AE
-:0401580000D50022AC
-:0401590000D60022AA
-:04015A0000D70022A8
-:04015B0000D80022A6
-:04015C0000D90022A4
-:04015D0000DA0023A1
-:04015E0000DB00239F
-:04015F0000DC00239D
-:0401600000DD00239B
-:0401610000DE002399
-:0401620000DF002397
-:0401630000E0002494
-:0401640000E1002492
-:0401650000E2002490
-:0401660000E300248E
-:0401670000E400248C
-:0401680000E500248A
-:0401690000E6002488
-:04016A0000E7002585
-:04016B0000E8002583
-:04016C0000E9002581
-:04016D0000EA00257F
-:04016E0000EB00257D
-:04016F0000EC00257B
-:0401700000ED002678
-:0401710000EE002676
-:0401720000EF002674
-:0401730000F0002672
-:0401740000F1002670
-:0401750000F200266E
-:0401760000F300266C
-:0401770000F4002769
-:0401780000F5002767
-:0401790000F6002765
-:04017A0000F7002763
-:04017B0000F8002761
-:04017C0000F900275F
-:04017D0000FA00285C
-:04017E0000FB00285A
-:04017F0000FC002858
-:0401800000BE001E9F
-:0401810000BF001E9D
-:0401820000C0001E9B
-:0401830000C1001F98
-:0401840000C2001F96
-:0401850000C3001F94
-:0401860000C4001F92
-:0401870000C5001F90
-:0401880000C6001F8E
-:0401890000C7001F8C
-:04018A0000C8002089
-:04018B0000C9002087
-:04018C0000C9002086
-:04018D0000CA002084
-:04018E0000CB002082
-:04018F0000CC002080
-:0401900000CD00217D
-:0401910000CE00217B
-:0401920000CF002179
-:0401930000D0002177
-:0401940000D1002175
-:0401950000D2002173
-:0401960000D3002171
-:0401970000D400226E
-:0401980000D500226C
-:0401990000D600226A
-:04019A0000D7002268
-:04019B0000D8002266
-:04019C0000D9002264
-:04019D0000DA002361
-:04019E0000DB00235F
-:04019F0000DC00235D
-:0401A00000DD00235B
-:0401A10000DE002359
-:0401A20000DF002357
-:0401A30000E0002454
-:0401A40000E1002452
-:0401A50000E2002450
-:0401A60000E300244E
-:0401A70000E400244C
-:0401A80000E500244A
-:0401A90000E6002448
-:0401AA0000E7002545
-:0401AB0000E8002543
-:0401AC0000E9002541
-:0401AD0000EA00253F
-:0401AE0000EB00253D
-:0401AF0000EC00253B
-:0401B00000ED002638
-:0401B10000EE002636
-:0401B20000EF002634
-:0401B30000F0002632
-:0401B40000F1002630
-:0401B50000F200262E
-:0401B60000F300262C
-:0401B70000F4002729
-:0401B80000F5002727
-:0401B90000F6002725
-:0401BA0000F7002723
-:0401BB0000F8002721
-:0401BC0000F900271F
-:0401BD0000FA00281C
-:0401BE0000FB00281A
-:0401BF0000FC002818
-:0401C00000BE001E5F
-:0401C10000BF001E5D
-:0401C20000C0001E5B
-:0401C30000C1001F58
-:0401C40000C2001F56
-:0401C50000C3001F54
-:0401C60000C4001F52
-:0401C70000C5001F50
-:0401C80000C6001F4E
-:0401C90000C7001F4C
-:0401CA0000C8002049
-:0401CB0000C9002047
-:0401CC0000C9002046
-:0401CD0000CA002044
-:0401CE0000CB002042
-:0401CF0000CC002040
-:0401D00000CD00213D
-:0401D10000CE00213B
-:0401D20000CF002139
-:0401D30000D0002137
-:0401D40000D1002135
-:0401D50000D2002133
-:0401D60000D3002131
-:0401D70000D400222E
-:0401D80000D500222C
-:0401D90000D600222A
-:0401DA0000D7002228
-:0401DB0000D8002226
-:0401DC0000D9002224
-:0401DD0000DA002321
-:0401DE0000DB00231F
-:0401DF0000DC00231D
-:0401E00000DD00231B
-:0401E10000DE002319
-:0401E20000DF002317
-:0401E30000E0002414
-:0401E40000E1002412
-:0401E50000E2002410
-:0401E60000E300240E
-:0401E70000E400240C
-:0401E80000E500240A
-:0401E90000E6002408
-:0401EA0000E7002505
-:0401EB0000E8002503
-:0401EC0000E9002501
-:0401ED0000EA0025FF
-:0401EE0000EB0025FD
-:0401EF0000EC0025FB
-:0401F00000ED0026F8
-:0401F10000EE0026F6
-:0401F20000EF0026F4
-:0401F30000F00026F2
-:0401F40000F10026F0
-:0401F50000F20026EE
-:0401F60000F30026EC
-:0401F70000F40027E9
-:0401F80000F50027E7
-:0401F90000F60027E5
-:0401FA0000F70027E3
-:0401FB0000F80027E1
-:0401FC0000F90027DF
-:0401FD0000FA0028DC
-:0401FE0000FB0028DA
-:0401FF0000FC0028D8
-:0402000000BE001E1E
-:0402010000BF001E1C
-:0402020000C0001E1A
-:0402030000C1001F17
-:0402040000C2001F15
-:0402050000C3001F13
-:0402060000C4001F11
-:0402070000C5001F0F
-:0402080000C6001F0D
-:0402090000C7001F0B
-:04020A0000C8002008
-:04020B0000C9002006
-:04020C0000C9002005
-:04020D0000CA002003
-:04020E0000CB002001
-:04020F0000CC0020FF
-:0402100000CD0021FC
-:0402110000CE0021FA
-:0402120000CF0021F8
-:0402130000D00021F6
-:0402140000D10021F4
-:0402150000D20021F2
-:0402160000D30021F0
-:0402170000D40022ED
-:0402180000D50022EB
-:0402190000D60022E9
-:04021A0000D70022E7
-:04021B0000D80022E5
-:04021C0000D90022E3
-:04021D0000DA0023E0
-:04021E0000DB0023DE
-:04021F0000DC0023DC
-:0402200000DD0023DA
-:0402210000DE0023D8
-:0402220000DF0023D6
-:0402230000E00024D3
-:0402240000E10024D1
-:0402250000E20024CF
-:0402260000E30024CD
-:0402270000E40024CB
-:0402280000E50024C9
-:0402290000E60024C7
-:04022A0000E70025C4
-:04022B0000E80025C2
-:04022C0000E90025C0
-:04022D0000EA0025BE
-:04022E0000EB0025BC
-:04022F0000EC0025BA
-:0402300000ED0026B7
-:0402310000EE0026B5
-:0402320000EF0026B3
-:0402330000F00026B1
-:0402340000F10026AF
-:0402350000F20026AD
-:0402360000F30026AB
-:0402370000F40027A8
-:0402380000F50027A6
-:0402390000F60027A4
-:04023A0000F70027A2
-:04023B0000F80027A0
-:04023C0000F900279E
-:04023D0000FA00289B
-:04023E0000FB002899
-:04023F0000FC002897
-:0402400000BE001EDE
-:0402410000BF001EDC
-:0402420000C0001EDA
-:0402430000C1001FD7
-:0402440000C2001FD5
-:0402450000C3001FD3
-:0402460000C4001FD1
-:0402470000C5001FCF
-:0402480000C6001FCD
-:0402490000C7001FCB
-:04024A0000C80020C8
-:04024B0000C90020C6
-:04024C0000C90020C5
-:04024D0000CA0020C3
-:04024E0000CB0020C1
-:04024F0000CC0020BF
-:0402500000CD0021BC
-:0402510000CE0021BA
-:0402520000CF0021B8
-:0402530000D00021B6
-:0402540000D10021B4
-:0402550000D20021B2
-:0402560000D30021B0
-:0402570000D40022AD
-:0402580000D50022AB
-:0402590000D60022A9
-:04025A0000D70022A7
-:04025B0000D80022A5
-:04025C0000D90022A3
-:04025D0000DA0023A0
-:04025E0000DB00239E
-:04025F0000DC00239C
-:0402600000DD00239A
-:0402610000DE002398
-:0402620000DF002396
-:0402630000E0002493
-:0402640000E1002491
-:0402650000E200248F
-:0402660000E300248D
-:0402670000E400248B
-:0402680000E5002489
-:0402690000E6002487
-:04026A0000E7002584
-:04026B0000E8002582
-:04026C0000E9002580
-:04026D0000EA00257E
-:04026E0000EB00257C
-:04026F0000EC00257A
-:0402700000ED002677
-:0402710000EE002675
-:0402720000EF002673
-:0402730000F0002671
-:0402740000F100266F
-:0402750000F200266D
-:0402760000F300266B
-:0402770000F4002768
-:0402780000F5002766
-:0402790000F6002764
-:04027A0000F7002762
-:04027B0000F8002760
-:04027C0000F900275E
-:04027D0000FA00285B
-:04027E0000FB002859
-:04027F0000FC002857
-:0402800000BE001E9E
-:0402810000BF001E9C
-:0402820000C0001E9A
-:0402830000C1001F97
-:0402840000C2001F95
-:0402850000C3001F93
-:0402860000C4001F91
-:0402870000C5001F8F
-:0402880000C6001F8D
-:0402890000C7001F8B
-:04028A0000C8002088
-:04028B0000C9002086
-:04028C0000C9002085
-:04028D0000CA002083
-:04028E0000CB002081
-:04028F0000CC00207F
-:0402900000CD00217C
-:0402910000CE00217A
-:0402920000CF002178
-:0402930000D0002176
-:0402940000D1002174
-:0402950000D2002172
-:0402960000D3002170
-:0402970000D400226D
-:0402980000D500226B
-:0402990000D6002269
-:04029A0000D7002267
-:04029B0000D8002265
-:04029C0000D9002263
-:04029D0000DA002360
-:04029E0000DB00235E
-:04029F0000DC00235C
-:0402A00000DD00235A
-:0402A10000DE002358
-:0402A20000DF002356
-:0402A30000E0002453
-:0402A40000E1002451
-:0402A50000E200244F
-:0402A60000E300244D
-:0402A70000E400244B
-:0402A80000E5002449
-:0402A90000E6002447
-:0402AA0000E7002544
-:0402AB0000E8002542
-:0402AC0000E9002540
-:0402AD0000EA00253E
-:0402AE0000EB00253C
-:0402AF0000EC00253A
-:0402B00000ED002637
-:0402B10000EE002635
-:0402B20000EF002633
-:0402B30000F0002631
-:0402B40000F100262F
-:0402B50000F200262D
-:0402B60000F300262B
-:0402B70000F4002728
-:0402B80000F5002726
-:0402B90000F6002724
-:0402BA0000F7002722
-:0402BB0000F8002720
-:0402BC0000F900271E
-:0402BD0000FA00281B
-:0402BE0000FB002819
-:0402BF0000FC002817
-:0402C00000BE001E5E
-:0402C10000BF001E5C
-:0402C20000C0001E5A
-:0402C30000C1001F57
-:0402C40000C2001F55
-:0402C50000C3001F53
-:0402C60000C4001F51
-:0402C70000C5001F4F
-:0402C80000C6001F4D
-:0402C90000C7001F4B
-:0402CA0000C8002048
-:0402CB0000C9002046
-:0402CC0000C9002045
-:0402CD0000CA002043
-:0402CE0000CB002041
-:0402CF0000CC00203F
-:0402D00000CD00213C
-:0402D10000CE00213A
-:0402D20000CF002138
-:0402D30000D0002136
-:0402D40000D1002134
-:0402D50000D2002132
-:0402D60000D3002130
-:0402D70000D400222D
-:0402D80000D500222B
-:0402D90000D6002229
-:0402DA0000D7002227
-:0402DB0000D8002225
-:0402DC0000D9002223
-:0402DD0000DA002320
-:0402DE0000DB00231E
-:0402DF0000DC00231C
-:0402E00000DD00231A
-:0402E10000DE002318
-:0402E20000DF002316
-:0402E30000E0002413
-:0402E40000E1002411
-:0402E50000E200240F
-:0402E60000E300240D
-:0402E70000E400240B
-:0402E80000E5002409
-:0402E90000E6002407
-:0402EA0000E7002504
-:0402EB0000E8002502
-:0402EC0000E9002500
-:0402ED0000EA0025FE
-:0402EE0000EB0025FC
-:0402EF0000EC0025FA
-:0402F00000ED0026F7
-:0402F10000EE0026F5
-:0402F20000EF0026F3
-:0402F30000F00026F1
-:0402F40000F10026EF
-:0402F50000F20026ED
-:0402F60000F30026EB
-:0402F70000F40027E8
-:0402F80000F50027E6
-:0402F90000F60027E4
-:0402FA0000F70027E2
-:0402FB0000F80027E0
-:0402FC0000F90027DE
-:0402FD0000FA0028DB
-:0402FE0000FB0028D9
-:0402FF0000FC0028D7
-:0403000000BE001E1D
-:0403010000BF001E1B
-:0403020000C0001E19
-:0403030000C1001F16
-:0403040000C2001F14
-:0403050000C3001F12
-:0403060000C4001F10
-:0403070000C5001F0E
-:0403080000C6001F0C
-:0403090000C7001F0A
-:04030A0000C8002007
-:04030B0000C9002005
-:04030C0000C9002004
-:04030D0000CA002002
-:04030E0000CB002000
-:04030F0000CC0020FE
-:0403100000CD0021FB
-:0403110000CE0021F9
-:0403120000CF0021F7
-:0403130000D00021F5
-:0403140000D10021F3
-:0403150000D20021F1
-:0403160000D30021EF
-:0403170000D40022EC
-:0403180000D50022EA
-:0403190000D60022E8
-:04031A0000D70022E6
-:04031B0000D80022E4
-:04031C0000D90022E2
-:04031D0000DA0023DF
-:04031E0000DB0023DD
-:04031F0000DC0023DB
-:0403200000DD0023D9
-:0403210000DE0023D7
-:0403220000DF0023D5
-:0403230000E00024D2
-:0403240000E10024D0
-:0403250000E20024CE
-:0403260000E30024CC
-:0403270000E40024CA
-:0403280000E50024C8
-:0403290000E60024C6
-:04032A0000E70025C3
-:04032B0000E80025C1
-:04032C0000E90025BF
-:04032D0000EA0025BD
-:04032E0000EB0025BB
-:04032F0000EC0025B9
-:0403300000ED0026B6
-:0403310000EE0026B4
-:0403320000EF0026B2
-:0403330000F00026B0
-:0403340000F10026AE
-:0403350000F20026AC
-:0403360000F30026AA
-:0403370000F40027A7
-:0403380000F50027A5
-:0403390000F60027A3
-:04033A0000F70027A1
-:04033B0000F800279F
-:04033C0000F900279D
-:04033D0000FA00289A
-:04033E0000FB002898
-:04033F0000FC002896
-:0403400000BE001EDD
-:0403410000BF001EDB
-:0403420000C0001ED9
-:0403430000C1001FD6
-:0403440000C2001FD4
-:0403450000C3001FD2
-:0403460000C4001FD0
-:0403470000C5001FCE
-:0403480000C6001FCC
-:0403490000C7001FCA
-:04034A0000C80020C7
-:04034B0000C90020C5
-:04034C0000C90020C4
-:04034D0000CA0020C2
-:04034E0000CB0020C0
-:04034F0000CC0020BE
-:0403500000CD0021BB
-:0403510000CE0021B9
-:0403520000CF0021B7
-:0403530000D00021B5
-:0403540000D10021B3
-:0403550000D20021B1
-:0403560000D30021AF
-:0403570000D40022AC
-:0403580000D50022AA
-:0403590000D60022A8
-:04035A0000D70022A6
-:04035B0000D80022A4
-:04035C0000D90022A2
-:04035D0000DA00239F
-:04035E0000DB00239D
-:04035F0000DC00239B
-:0403600000DD002399
-:0403610000DE002397
-:0403620000DF002395
-:0403630000E0002492
-:0403640000E1002490
-:0403650000E200248E
-:0403660000E300248C
-:0403670000E400248A
-:0403680000E5002488
-:0403690000E6002486
-:04036A0000E7002583
-:04036B0000E8002581
-:04036C0000E900257F
-:04036D0000EA00257D
-:04036E0000EB00257B
-:04036F0000EC002579
-:0403700000ED002676
-:0403710000EE002674
-:0403720000EF002672
-:0403730000F0002670
-:0403740000F100266E
-:0403750000F200266C
-:0403760000F300266A
-:0403770000F4002767
-:0403780000F5002765
-:0403790000F6002763
-:04037A0000F7002761
-:04037B0000F800275F
-:04037C0000F900275D
-:04037D0000FA00285A
-:04037E0000FB002858
-:04037F0000FC002856
-:0403800000BE001E9D
-:0403810000BF001E9B
-:0403820000C0001E99
-:0403830000C1001F96
-:0403840000C2001F94
-:0403850000C3001F92
-:0403860000C4001F90
-:0403870000C5001F8E
-:0403880000C6001F8C
-:0403890000C7001F8A
-:04038A0000C8002087
-:04038B0000C9002085
-:04038C0000C9002084
-:04038D0000CA002082
-:04038E0000CB002080
-:04038F0000CC00207E
-:0403900000CD00217B
-:0403910000CE002179
-:0403920000CF002177
-:0403930000D0002175
-:0403940000D1002173
-:0403950000D2002171
-:0403960000D300216F
-:0403970000D400226C
-:0403980000D500226A
-:0403990000D6002268
-:04039A0000D7002266
-:04039B0000D8002264
-:04039C0000D9002262
-:04039D0000DA00235F
-:04039E0000DB00235D
-:04039F0000DC00235B
-:0403A00000DD002359
-:0403A10000DE002357
-:0403A20000DF002355
-:0403A30000E0002452
-:0403A40000E1002450
-:0403A50000E200244E
-:0403A60000E300244C
-:0403A70000E400244A
-:0403A80000E5002448
-:0403A90000E6002446
-:0403AA0000E7002543
-:0403AB0000E8002541
-:0403AC0000E900253F
-:0403AD0000EA00253D
-:0403AE0000EB00253B
-:0403AF0000EC002539
-:0403B00000ED002636
-:0403B10000EE002634
-:0403B20000EF002632
-:0403B30000F0002630
-:0403B40000F100262E
-:0403B50000F200262C
-:0403B60000F300262A
-:0403B70000F4002727
-:0403B80000F5002725
-:0403B90000F6002723
-:0403BA0000F7002721
-:0403BB0000F800271F
-:0403BC0000F900271D
-:0403BD0000FA00281A
-:0403BE0000FB002818
-:0403BF0000FC002816
-:0403C00000BE001E5D
-:0403C10000BF001E5B
-:0403C20000C0001E59
-:0403C30000C1001F56
-:0403C40000C2001F54
-:0403C50000C3001F52
-:0403C60000C4001F50
-:0403C70000C5001F4E
-:0403C80000C6001F4C
-:0403C90000C7001F4A
-:0403CA0000C8002047
-:0403CB0000C9002045
-:0403CC0000C9002044
-:0403CD0000CA002042
-:0403CE0000CB002040
-:0403CF0000CC00203E
-:0403D00000CD00213B
-:0403D10000CE002139
-:0403D20000CF002137
-:0403D30000D0002135
-:0403D40000D1002133
-:0403D50000D2002131
-:0403D60000D300212F
-:0403D70000D400222C
-:0403D80000D500222A
-:0403D90000D6002228
-:0403DA0000D7002226
-:0403DB0000D8002224
-:0403DC0000D9002222
-:0403DD0000DA00231F
-:0403DE0000DB00231D
-:0403DF0000DC00231B
-:0403E00000DD002319
-:0403E10000DE002317
-:0403E20000DF002315
-:0403E30000E0002412
-:0403E40000E1002410
-:0403E50000E200240E
-:0403E60000E300240C
-:0403E70000E400240A
-:0403E80000E5002408
-:0403E90000E6002406
-:0403EA0000E7002503
-:0403EB0000E8002501
-:0403EC0000E90025FF
-:0403ED0000EA0025FD
-:0403EE0000EB0025FB
-:0403EF0000EC0025F9
-:0403F00000ED0026F6
-:0403F10000EE0026F4
-:0403F20000EF0026F2
-:0403F30000F00026F0
-:0403F40000F10026EE
-:0403F50000F20026EC
-:0403F60000F30026EA
-:0403F70000F40027E7
-:0403F80000F50027E5
-:0403F90000F60027E3
-:0403FA0000F70027E1
-:0403FB0000F80027DF
-:0403FC0000F90027DD
-:0403FD0000FA0028DA
-:0403FE0000FB0028D8
-:0403FF0000FC0028D6
 :00000001FF
diff --git a/libraries/dsp/correlator/src/hex/complex_subbands_16_9.hex b/libraries/dsp/correlator/src/hex/complex_subbands_16_9.hex
index ae664ca58f644ac54cc4aed396593a2a6a749efa..4ce6c85a48ed4c444b993fd6322e48885870082c 100644
--- a/libraries/dsp/correlator/src/hex/complex_subbands_16_9.hex
+++ b/libraries/dsp/correlator/src/hex/complex_subbands_16_9.hex
@@ -62,964 +62,4 @@
 :04003D0000F9002C9A
 :04003E0000FA002C98
 :04003F0000FB002C96
-:0400400000BD0021DE
-:0400410000BE0022DB
-:0400420000BF0022D9
-:0400430000C00022D7
-:0400440000C10022D5
-:0400450000C20022D3
-:0400460000C30022D1
-:0400470000C40023CE
-:0400480000C50023CC
-:0400490000C60023CA
-:04004A0000C70023C8
-:04004B0000C80023C6
-:04004C0000C90023C4
-:04004D0000CA0024C1
-:04004E0000CB0024BF
-:04004F0000CC0024BD
-:0400500000CD0024BB
-:0400510000CE0024B9
-:0400520000CF0024B7
-:0400530000D00025B4
-:0400540000D10025B2
-:0400550000D20025B0
-:0400560000D30025AE
-:0400570000D40025AC
-:0400580000D50026A9
-:0400590000D60026A7
-:04005A0000D70026A5
-:04005B0000D80026A3
-:04005C0000D90026A1
-:04005D0000DA00269F
-:04005E0000DB00279C
-:04005F0000DC00279A
-:0400600000DD002798
-:0400610000DE002796
-:0400620000DF002794
-:0400630000E0002792
-:0400640000E100288F
-:0400650000E200288D
-:0400660000E300288B
-:0400670000E300288A
-:0400680000E4002888
-:0400690000E5002886
-:04006A0000E6002983
-:04006B0000E7002981
-:04006C0000E800297F
-:04006D0000E900297D
-:04006E0000EA00297B
-:04006F0000EB002A78
-:0400700000EC002A76
-:0400710000ED002A74
-:0400720000EE002A72
-:0400730000EF002A70
-:0400740000F0002A6E
-:0400750000F1002B6B
-:0400760000F2002B69
-:0400770000F3002B67
-:0400780000F4002B65
-:0400790000F5002B63
-:04007A0000F6002B61
-:04007B0000F7002C5E
-:04007C0000F8002C5C
-:04007D0000F9002C5A
-:04007E0000FA002C58
-:04007F0000FB002C56
-:0400800000BD00219E
-:0400810000BE00229B
-:0400820000BF002299
-:0400830000C0002297
-:0400840000C1002295
-:0400850000C2002293
-:0400860000C3002291
-:0400870000C400238E
-:0400880000C500238C
-:0400890000C600238A
-:04008A0000C7002388
-:04008B0000C8002386
-:04008C0000C9002384
-:04008D0000CA002481
-:04008E0000CB00247F
-:04008F0000CC00247D
-:0400900000CD00247B
-:0400910000CE002479
-:0400920000CF002477
-:0400930000D0002574
-:0400940000D1002572
-:0400950000D2002570
-:0400960000D300256E
-:0400970000D400256C
-:0400980000D5002669
-:0400990000D6002667
-:04009A0000D7002665
-:04009B0000D8002663
-:04009C0000D9002661
-:04009D0000DA00265F
-:04009E0000DB00275C
-:04009F0000DC00275A
-:0400A00000DD002758
-:0400A10000DE002756
-:0400A20000DF002754
-:0400A30000E0002752
-:0400A40000E100284F
-:0400A50000E200284D
-:0400A60000E300284B
-:0400A70000E300284A
-:0400A80000E4002848
-:0400A90000E5002846
-:0400AA0000E6002943
-:0400AB0000E7002941
-:0400AC0000E800293F
-:0400AD0000E900293D
-:0400AE0000EA00293B
-:0400AF0000EB002A38
-:0400B00000EC002A36
-:0400B10000ED002A34
-:0400B20000EE002A32
-:0400B30000EF002A30
-:0400B40000F0002A2E
-:0400B50000F1002B2B
-:0400B60000F2002B29
-:0400B70000F3002B27
-:0400B80000F4002B25
-:0400B90000F5002B23
-:0400BA0000F6002B21
-:0400BB0000F7002C1E
-:0400BC0000F8002C1C
-:0400BD0000F9002C1A
-:0400BE0000FA002C18
-:0400BF0000FB002C16
-:0400C00000BD00215E
-:0400C10000BE00225B
-:0400C20000BF002259
-:0400C30000C0002257
-:0400C40000C1002255
-:0400C50000C2002253
-:0400C60000C3002251
-:0400C70000C400234E
-:0400C80000C500234C
-:0400C90000C600234A
-:0400CA0000C7002348
-:0400CB0000C8002346
-:0400CC0000C9002344
-:0400CD0000CA002441
-:0400CE0000CB00243F
-:0400CF0000CC00243D
-:0400D00000CD00243B
-:0400D10000CE002439
-:0400D20000CF002437
-:0400D30000D0002534
-:0400D40000D1002532
-:0400D50000D2002530
-:0400D60000D300252E
-:0400D70000D400252C
-:0400D80000D5002629
-:0400D90000D6002627
-:0400DA0000D7002625
-:0400DB0000D8002623
-:0400DC0000D9002621
-:0400DD0000DA00261F
-:0400DE0000DB00271C
-:0400DF0000DC00271A
-:0400E00000DD002718
-:0400E10000DE002716
-:0400E20000DF002714
-:0400E30000E0002712
-:0400E40000E100280F
-:0400E50000E200280D
-:0400E60000E300280B
-:0400E70000E300280A
-:0400E80000E4002808
-:0400E90000E5002806
-:0400EA0000E6002903
-:0400EB0000E7002901
-:0400EC0000E80029FF
-:0400ED0000E90029FD
-:0400EE0000EA0029FB
-:0400EF0000EB002AF8
-:0400F00000EC002AF6
-:0400F10000ED002AF4
-:0400F20000EE002AF2
-:0400F30000EF002AF0
-:0400F40000F0002AEE
-:0400F50000F1002BEB
-:0400F60000F2002BE9
-:0400F70000F3002BE7
-:0400F80000F4002BE5
-:0400F90000F5002BE3
-:0400FA0000F6002BE1
-:0400FB0000F7002CDE
-:0400FC0000F8002CDC
-:0400FD0000F9002CDA
-:0400FE0000FA002CD8
-:0400FF0000FB002CD6
-:0401000000BD00211D
-:0401010000BE00221A
-:0401020000BF002218
-:0401030000C0002216
-:0401040000C1002214
-:0401050000C2002212
-:0401060000C3002210
-:0401070000C400230D
-:0401080000C500230B
-:0401090000C6002309
-:04010A0000C7002307
-:04010B0000C8002305
-:04010C0000C9002303
-:04010D0000CA002400
-:04010E0000CB0024FE
-:04010F0000CC0024FC
-:0401100000CD0024FA
-:0401110000CE0024F8
-:0401120000CF0024F6
-:0401130000D00025F3
-:0401140000D10025F1
-:0401150000D20025EF
-:0401160000D30025ED
-:0401170000D40025EB
-:0401180000D50026E8
-:0401190000D60026E6
-:04011A0000D70026E4
-:04011B0000D80026E2
-:04011C0000D90026E0
-:04011D0000DA0026DE
-:04011E0000DB0027DB
-:04011F0000DC0027D9
-:0401200000DD0027D7
-:0401210000DE0027D5
-:0401220000DF0027D3
-:0401230000E00027D1
-:0401240000E10028CE
-:0401250000E20028CC
-:0401260000E30028CA
-:0401270000E30028C9
-:0401280000E40028C7
-:0401290000E50028C5
-:04012A0000E60029C2
-:04012B0000E70029C0
-:04012C0000E80029BE
-:04012D0000E90029BC
-:04012E0000EA0029BA
-:04012F0000EB002AB7
-:0401300000EC002AB5
-:0401310000ED002AB3
-:0401320000EE002AB1
-:0401330000EF002AAF
-:0401340000F0002AAD
-:0401350000F1002BAA
-:0401360000F2002BA8
-:0401370000F3002BA6
-:0401380000F4002BA4
-:0401390000F5002BA2
-:04013A0000F6002BA0
-:04013B0000F7002C9D
-:04013C0000F8002C9B
-:04013D0000F9002C99
-:04013E0000FA002C97
-:04013F0000FB002C95
-:0401400000BD0021DD
-:0401410000BE0022DA
-:0401420000BF0022D8
-:0401430000C00022D6
-:0401440000C10022D4
-:0401450000C20022D2
-:0401460000C30022D0
-:0401470000C40023CD
-:0401480000C50023CB
-:0401490000C60023C9
-:04014A0000C70023C7
-:04014B0000C80023C5
-:04014C0000C90023C3
-:04014D0000CA0024C0
-:04014E0000CB0024BE
-:04014F0000CC0024BC
-:0401500000CD0024BA
-:0401510000CE0024B8
-:0401520000CF0024B6
-:0401530000D00025B3
-:0401540000D10025B1
-:0401550000D20025AF
-:0401560000D30025AD
-:0401570000D40025AB
-:0401580000D50026A8
-:0401590000D60026A6
-:04015A0000D70026A4
-:04015B0000D80026A2
-:04015C0000D90026A0
-:04015D0000DA00269E
-:04015E0000DB00279B
-:04015F0000DC002799
-:0401600000DD002797
-:0401610000DE002795
-:0401620000DF002793
-:0401630000E0002791
-:0401640000E100288E
-:0401650000E200288C
-:0401660000E300288A
-:0401670000E3002889
-:0401680000E4002887
-:0401690000E5002885
-:04016A0000E6002982
-:04016B0000E7002980
-:04016C0000E800297E
-:04016D0000E900297C
-:04016E0000EA00297A
-:04016F0000EB002A77
-:0401700000EC002A75
-:0401710000ED002A73
-:0401720000EE002A71
-:0401730000EF002A6F
-:0401740000F0002A6D
-:0401750000F1002B6A
-:0401760000F2002B68
-:0401770000F3002B66
-:0401780000F4002B64
-:0401790000F5002B62
-:04017A0000F6002B60
-:04017B0000F7002C5D
-:04017C0000F8002C5B
-:04017D0000F9002C59
-:04017E0000FA002C57
-:04017F0000FB002C55
-:0401800000BD00219D
-:0401810000BE00229A
-:0401820000BF002298
-:0401830000C0002296
-:0401840000C1002294
-:0401850000C2002292
-:0401860000C3002290
-:0401870000C400238D
-:0401880000C500238B
-:0401890000C6002389
-:04018A0000C7002387
-:04018B0000C8002385
-:04018C0000C9002383
-:04018D0000CA002480
-:04018E0000CB00247E
-:04018F0000CC00247C
-:0401900000CD00247A
-:0401910000CE002478
-:0401920000CF002476
-:0401930000D0002573
-:0401940000D1002571
-:0401950000D200256F
-:0401960000D300256D
-:0401970000D400256B
-:0401980000D5002668
-:0401990000D6002666
-:04019A0000D7002664
-:04019B0000D8002662
-:04019C0000D9002660
-:04019D0000DA00265E
-:04019E0000DB00275B
-:04019F0000DC002759
-:0401A00000DD002757
-:0401A10000DE002755
-:0401A20000DF002753
-:0401A30000E0002751
-:0401A40000E100284E
-:0401A50000E200284C
-:0401A60000E300284A
-:0401A70000E3002849
-:0401A80000E4002847
-:0401A90000E5002845
-:0401AA0000E6002942
-:0401AB0000E7002940
-:0401AC0000E800293E
-:0401AD0000E900293C
-:0401AE0000EA00293A
-:0401AF0000EB002A37
-:0401B00000EC002A35
-:0401B10000ED002A33
-:0401B20000EE002A31
-:0401B30000EF002A2F
-:0401B40000F0002A2D
-:0401B50000F1002B2A
-:0401B60000F2002B28
-:0401B70000F3002B26
-:0401B80000F4002B24
-:0401B90000F5002B22
-:0401BA0000F6002B20
-:0401BB0000F7002C1D
-:0401BC0000F8002C1B
-:0401BD0000F9002C19
-:0401BE0000FA002C17
-:0401BF0000FB002C15
-:0401C00000BD00215D
-:0401C10000BE00225A
-:0401C20000BF002258
-:0401C30000C0002256
-:0401C40000C1002254
-:0401C50000C2002252
-:0401C60000C3002250
-:0401C70000C400234D
-:0401C80000C500234B
-:0401C90000C6002349
-:0401CA0000C7002347
-:0401CB0000C8002345
-:0401CC0000C9002343
-:0401CD0000CA002440
-:0401CE0000CB00243E
-:0401CF0000CC00243C
-:0401D00000CD00243A
-:0401D10000CE002438
-:0401D20000CF002436
-:0401D30000D0002533
-:0401D40000D1002531
-:0401D50000D200252F
-:0401D60000D300252D
-:0401D70000D400252B
-:0401D80000D5002628
-:0401D90000D6002626
-:0401DA0000D7002624
-:0401DB0000D8002622
-:0401DC0000D9002620
-:0401DD0000DA00261E
-:0401DE0000DB00271B
-:0401DF0000DC002719
-:0401E00000DD002717
-:0401E10000DE002715
-:0401E20000DF002713
-:0401E30000E0002711
-:0401E40000E100280E
-:0401E50000E200280C
-:0401E60000E300280A
-:0401E70000E3002809
-:0401E80000E4002807
-:0401E90000E5002805
-:0401EA0000E6002902
-:0401EB0000E7002900
-:0401EC0000E80029FE
-:0401ED0000E90029FC
-:0401EE0000EA0029FA
-:0401EF0000EB002AF7
-:0401F00000EC002AF5
-:0401F10000ED002AF3
-:0401F20000EE002AF1
-:0401F30000EF002AEF
-:0401F40000F0002AED
-:0401F50000F1002BEA
-:0401F60000F2002BE8
-:0401F70000F3002BE6
-:0401F80000F4002BE4
-:0401F90000F5002BE2
-:0401FA0000F6002BE0
-:0401FB0000F7002CDD
-:0401FC0000F8002CDB
-:0401FD0000F9002CD9
-:0401FE0000FA002CD7
-:0401FF0000FB002CD5
-:0402000000BD00211C
-:0402010000BE002219
-:0402020000BF002217
-:0402030000C0002215
-:0402040000C1002213
-:0402050000C2002211
-:0402060000C300220F
-:0402070000C400230C
-:0402080000C500230A
-:0402090000C6002308
-:04020A0000C7002306
-:04020B0000C8002304
-:04020C0000C9002302
-:04020D0000CA0024FF
-:04020E0000CB0024FD
-:04020F0000CC0024FB
-:0402100000CD0024F9
-:0402110000CE0024F7
-:0402120000CF0024F5
-:0402130000D00025F2
-:0402140000D10025F0
-:0402150000D20025EE
-:0402160000D30025EC
-:0402170000D40025EA
-:0402180000D50026E7
-:0402190000D60026E5
-:04021A0000D70026E3
-:04021B0000D80026E1
-:04021C0000D90026DF
-:04021D0000DA0026DD
-:04021E0000DB0027DA
-:04021F0000DC0027D8
-:0402200000DD0027D6
-:0402210000DE0027D4
-:0402220000DF0027D2
-:0402230000E00027D0
-:0402240000E10028CD
-:0402250000E20028CB
-:0402260000E30028C9
-:0402270000E30028C8
-:0402280000E40028C6
-:0402290000E50028C4
-:04022A0000E60029C1
-:04022B0000E70029BF
-:04022C0000E80029BD
-:04022D0000E90029BB
-:04022E0000EA0029B9
-:04022F0000EB002AB6
-:0402300000EC002AB4
-:0402310000ED002AB2
-:0402320000EE002AB0
-:0402330000EF002AAE
-:0402340000F0002AAC
-:0402350000F1002BA9
-:0402360000F2002BA7
-:0402370000F3002BA5
-:0402380000F4002BA3
-:0402390000F5002BA1
-:04023A0000F6002B9F
-:04023B0000F7002C9C
-:04023C0000F8002C9A
-:04023D0000F9002C98
-:04023E0000FA002C96
-:04023F0000FB002C94
-:0402400000BD0021DC
-:0402410000BE0022D9
-:0402420000BF0022D7
-:0402430000C00022D5
-:0402440000C10022D3
-:0402450000C20022D1
-:0402460000C30022CF
-:0402470000C40023CC
-:0402480000C50023CA
-:0402490000C60023C8
-:04024A0000C70023C6
-:04024B0000C80023C4
-:04024C0000C90023C2
-:04024D0000CA0024BF
-:04024E0000CB0024BD
-:04024F0000CC0024BB
-:0402500000CD0024B9
-:0402510000CE0024B7
-:0402520000CF0024B5
-:0402530000D00025B2
-:0402540000D10025B0
-:0402550000D20025AE
-:0402560000D30025AC
-:0402570000D40025AA
-:0402580000D50026A7
-:0402590000D60026A5
-:04025A0000D70026A3
-:04025B0000D80026A1
-:04025C0000D900269F
-:04025D0000DA00269D
-:04025E0000DB00279A
-:04025F0000DC002798
-:0402600000DD002796
-:0402610000DE002794
-:0402620000DF002792
-:0402630000E0002790
-:0402640000E100288D
-:0402650000E200288B
-:0402660000E3002889
-:0402670000E3002888
-:0402680000E4002886
-:0402690000E5002884
-:04026A0000E6002981
-:04026B0000E700297F
-:04026C0000E800297D
-:04026D0000E900297B
-:04026E0000EA002979
-:04026F0000EB002A76
-:0402700000EC002A74
-:0402710000ED002A72
-:0402720000EE002A70
-:0402730000EF002A6E
-:0402740000F0002A6C
-:0402750000F1002B69
-:0402760000F2002B67
-:0402770000F3002B65
-:0402780000F4002B63
-:0402790000F5002B61
-:04027A0000F6002B5F
-:04027B0000F7002C5C
-:04027C0000F8002C5A
-:04027D0000F9002C58
-:04027E0000FA002C56
-:04027F0000FB002C54
-:0402800000BD00219C
-:0402810000BE002299
-:0402820000BF002297
-:0402830000C0002295
-:0402840000C1002293
-:0402850000C2002291
-:0402860000C300228F
-:0402870000C400238C
-:0402880000C500238A
-:0402890000C6002388
-:04028A0000C7002386
-:04028B0000C8002384
-:04028C0000C9002382
-:04028D0000CA00247F
-:04028E0000CB00247D
-:04028F0000CC00247B
-:0402900000CD002479
-:0402910000CE002477
-:0402920000CF002475
-:0402930000D0002572
-:0402940000D1002570
-:0402950000D200256E
-:0402960000D300256C
-:0402970000D400256A
-:0402980000D5002667
-:0402990000D6002665
-:04029A0000D7002663
-:04029B0000D8002661
-:04029C0000D900265F
-:04029D0000DA00265D
-:04029E0000DB00275A
-:04029F0000DC002758
-:0402A00000DD002756
-:0402A10000DE002754
-:0402A20000DF002752
-:0402A30000E0002750
-:0402A40000E100284D
-:0402A50000E200284B
-:0402A60000E3002849
-:0402A70000E3002848
-:0402A80000E4002846
-:0402A90000E5002844
-:0402AA0000E6002941
-:0402AB0000E700293F
-:0402AC0000E800293D
-:0402AD0000E900293B
-:0402AE0000EA002939
-:0402AF0000EB002A36
-:0402B00000EC002A34
-:0402B10000ED002A32
-:0402B20000EE002A30
-:0402B30000EF002A2E
-:0402B40000F0002A2C
-:0402B50000F1002B29
-:0402B60000F2002B27
-:0402B70000F3002B25
-:0402B80000F4002B23
-:0402B90000F5002B21
-:0402BA0000F6002B1F
-:0402BB0000F7002C1C
-:0402BC0000F8002C1A
-:0402BD0000F9002C18
-:0402BE0000FA002C16
-:0402BF0000FB002C14
-:0402C00000BD00215C
-:0402C10000BE002259
-:0402C20000BF002257
-:0402C30000C0002255
-:0402C40000C1002253
-:0402C50000C2002251
-:0402C60000C300224F
-:0402C70000C400234C
-:0402C80000C500234A
-:0402C90000C6002348
-:0402CA0000C7002346
-:0402CB0000C8002344
-:0402CC0000C9002342
-:0402CD0000CA00243F
-:0402CE0000CB00243D
-:0402CF0000CC00243B
-:0402D00000CD002439
-:0402D10000CE002437
-:0402D20000CF002435
-:0402D30000D0002532
-:0402D40000D1002530
-:0402D50000D200252E
-:0402D60000D300252C
-:0402D70000D400252A
-:0402D80000D5002627
-:0402D90000D6002625
-:0402DA0000D7002623
-:0402DB0000D8002621
-:0402DC0000D900261F
-:0402DD0000DA00261D
-:0402DE0000DB00271A
-:0402DF0000DC002718
-:0402E00000DD002716
-:0402E10000DE002714
-:0402E20000DF002712
-:0402E30000E0002710
-:0402E40000E100280D
-:0402E50000E200280B
-:0402E60000E3002809
-:0402E70000E3002808
-:0402E80000E4002806
-:0402E90000E5002804
-:0402EA0000E6002901
-:0402EB0000E70029FF
-:0402EC0000E80029FD
-:0402ED0000E90029FB
-:0402EE0000EA0029F9
-:0402EF0000EB002AF6
-:0402F00000EC002AF4
-:0402F10000ED002AF2
-:0402F20000EE002AF0
-:0402F30000EF002AEE
-:0402F40000F0002AEC
-:0402F50000F1002BE9
-:0402F60000F2002BE7
-:0402F70000F3002BE5
-:0402F80000F4002BE3
-:0402F90000F5002BE1
-:0402FA0000F6002BDF
-:0402FB0000F7002CDC
-:0402FC0000F8002CDA
-:0402FD0000F9002CD8
-:0402FE0000FA002CD6
-:0402FF0000FB002CD4
-:0403000000BD00211B
-:0403010000BE002218
-:0403020000BF002216
-:0403030000C0002214
-:0403040000C1002212
-:0403050000C2002210
-:0403060000C300220E
-:0403070000C400230B
-:0403080000C5002309
-:0403090000C6002307
-:04030A0000C7002305
-:04030B0000C8002303
-:04030C0000C9002301
-:04030D0000CA0024FE
-:04030E0000CB0024FC
-:04030F0000CC0024FA
-:0403100000CD0024F8
-:0403110000CE0024F6
-:0403120000CF0024F4
-:0403130000D00025F1
-:0403140000D10025EF
-:0403150000D20025ED
-:0403160000D30025EB
-:0403170000D40025E9
-:0403180000D50026E6
-:0403190000D60026E4
-:04031A0000D70026E2
-:04031B0000D80026E0
-:04031C0000D90026DE
-:04031D0000DA0026DC
-:04031E0000DB0027D9
-:04031F0000DC0027D7
-:0403200000DD0027D5
-:0403210000DE0027D3
-:0403220000DF0027D1
-:0403230000E00027CF
-:0403240000E10028CC
-:0403250000E20028CA
-:0403260000E30028C8
-:0403270000E30028C7
-:0403280000E40028C5
-:0403290000E50028C3
-:04032A0000E60029C0
-:04032B0000E70029BE
-:04032C0000E80029BC
-:04032D0000E90029BA
-:04032E0000EA0029B8
-:04032F0000EB002AB5
-:0403300000EC002AB3
-:0403310000ED002AB1
-:0403320000EE002AAF
-:0403330000EF002AAD
-:0403340000F0002AAB
-:0403350000F1002BA8
-:0403360000F2002BA6
-:0403370000F3002BA4
-:0403380000F4002BA2
-:0403390000F5002BA0
-:04033A0000F6002B9E
-:04033B0000F7002C9B
-:04033C0000F8002C99
-:04033D0000F9002C97
-:04033E0000FA002C95
-:04033F0000FB002C93
-:0403400000BD0021DB
-:0403410000BE0022D8
-:0403420000BF0022D6
-:0403430000C00022D4
-:0403440000C10022D2
-:0403450000C20022D0
-:0403460000C30022CE
-:0403470000C40023CB
-:0403480000C50023C9
-:0403490000C60023C7
-:04034A0000C70023C5
-:04034B0000C80023C3
-:04034C0000C90023C1
-:04034D0000CA0024BE
-:04034E0000CB0024BC
-:04034F0000CC0024BA
-:0403500000CD0024B8
-:0403510000CE0024B6
-:0403520000CF0024B4
-:0403530000D00025B1
-:0403540000D10025AF
-:0403550000D20025AD
-:0403560000D30025AB
-:0403570000D40025A9
-:0403580000D50026A6
-:0403590000D60026A4
-:04035A0000D70026A2
-:04035B0000D80026A0
-:04035C0000D900269E
-:04035D0000DA00269C
-:04035E0000DB002799
-:04035F0000DC002797
-:0403600000DD002795
-:0403610000DE002793
-:0403620000DF002791
-:0403630000E000278F
-:0403640000E100288C
-:0403650000E200288A
-:0403660000E3002888
-:0403670000E3002887
-:0403680000E4002885
-:0403690000E5002883
-:04036A0000E6002980
-:04036B0000E700297E
-:04036C0000E800297C
-:04036D0000E900297A
-:04036E0000EA002978
-:04036F0000EB002A75
-:0403700000EC002A73
-:0403710000ED002A71
-:0403720000EE002A6F
-:0403730000EF002A6D
-:0403740000F0002A6B
-:0403750000F1002B68
-:0403760000F2002B66
-:0403770000F3002B64
-:0403780000F4002B62
-:0403790000F5002B60
-:04037A0000F6002B5E
-:04037B0000F7002C5B
-:04037C0000F8002C59
-:04037D0000F9002C57
-:04037E0000FA002C55
-:04037F0000FB002C53
-:0403800000BD00219B
-:0403810000BE002298
-:0403820000BF002296
-:0403830000C0002294
-:0403840000C1002292
-:0403850000C2002290
-:0403860000C300228E
-:0403870000C400238B
-:0403880000C5002389
-:0403890000C6002387
-:04038A0000C7002385
-:04038B0000C8002383
-:04038C0000C9002381
-:04038D0000CA00247E
-:04038E0000CB00247C
-:04038F0000CC00247A
-:0403900000CD002478
-:0403910000CE002476
-:0403920000CF002474
-:0403930000D0002571
-:0403940000D100256F
-:0403950000D200256D
-:0403960000D300256B
-:0403970000D4002569
-:0403980000D5002666
-:0403990000D6002664
-:04039A0000D7002662
-:04039B0000D8002660
-:04039C0000D900265E
-:04039D0000DA00265C
-:04039E0000DB002759
-:04039F0000DC002757
-:0403A00000DD002755
-:0403A10000DE002753
-:0403A20000DF002751
-:0403A30000E000274F
-:0403A40000E100284C
-:0403A50000E200284A
-:0403A60000E3002848
-:0403A70000E3002847
-:0403A80000E4002845
-:0403A90000E5002843
-:0403AA0000E6002940
-:0403AB0000E700293E
-:0403AC0000E800293C
-:0403AD0000E900293A
-:0403AE0000EA002938
-:0403AF0000EB002A35
-:0403B00000EC002A33
-:0403B10000ED002A31
-:0403B20000EE002A2F
-:0403B30000EF002A2D
-:0403B40000F0002A2B
-:0403B50000F1002B28
-:0403B60000F2002B26
-:0403B70000F3002B24
-:0403B80000F4002B22
-:0403B90000F5002B20
-:0403BA0000F6002B1E
-:0403BB0000F7002C1B
-:0403BC0000F8002C19
-:0403BD0000F9002C17
-:0403BE0000FA002C15
-:0403BF0000FB002C13
-:0403C00000BD00215B
-:0403C10000BE002258
-:0403C20000BF002256
-:0403C30000C0002254
-:0403C40000C1002252
-:0403C50000C2002250
-:0403C60000C300224E
-:0403C70000C400234B
-:0403C80000C5002349
-:0403C90000C6002347
-:0403CA0000C7002345
-:0403CB0000C8002343
-:0403CC0000C9002341
-:0403CD0000CA00243E
-:0403CE0000CB00243C
-:0403CF0000CC00243A
-:0403D00000CD002438
-:0403D10000CE002436
-:0403D20000CF002434
-:0403D30000D0002531
-:0403D40000D100252F
-:0403D50000D200252D
-:0403D60000D300252B
-:0403D70000D4002529
-:0403D80000D5002626
-:0403D90000D6002624
-:0403DA0000D7002622
-:0403DB0000D8002620
-:0403DC0000D900261E
-:0403DD0000DA00261C
-:0403DE0000DB002719
-:0403DF0000DC002717
-:0403E00000DD002715
-:0403E10000DE002713
-:0403E20000DF002711
-:0403E30000E000270F
-:0403E40000E100280C
-:0403E50000E200280A
-:0403E60000E3002808
-:0403E70000E3002807
-:0403E80000E4002805
-:0403E90000E5002803
-:0403EA0000E6002900
-:0403EB0000E70029FE
-:0403EC0000E80029FC
-:0403ED0000E90029FA
-:0403EE0000EA0029F8
-:0403EF0000EB002AF5
-:0403F00000EC002AF3
-:0403F10000ED002AF1
-:0403F20000EE002AEF
-:0403F30000EF002AED
-:0403F40000F0002AEB
-:0403F50000F1002BE8
-:0403F60000F2002BE6
-:0403F70000F3002BE4
-:0403F80000F4002BE2
-:0403F90000F5002BE0
-:0403FA0000F6002BDE
-:0403FB0000F7002CDB
-:0403FC0000F8002CD9
-:0403FD0000F9002CD7
-:0403FE0000FA002CD5
-:0403FF0000FB002CD3
 :00000001FF
diff --git a/libraries/dsp/correlator/src/python/gen_hex_files_complex_subbands.py b/libraries/dsp/correlator/src/python/gen_hex_files_complex_subbands.py
index 4afef3e64024ca633193333c893ab86cff39e084..8b223dc67b6aaa242564fb2b49defcc026185e44 100644
--- a/libraries/dsp/correlator/src/python/gen_hex_files_complex_subbands.py
+++ b/libraries/dsp/correlator/src/python/gen_hex_files_complex_subbands.py
@@ -31,8 +31,8 @@ from mem_init_file import list_to_hex
 NOF_INPUTS = 24
 COMPLEX_WIDTH = 16
 NOF_CHANNELS = 64
-NOF_TIMESAMPLES = 16
-NOF_WORDS_PER_BLOCK =NOF_TIMESAMPLES*NOF_CHANNELS # 1024
+NOF_TIMESAMPLES = 1
+NOF_WORDS_PER_BLOCK =NOF_TIMESAMPLES*NOF_CHANNELS
 
 MAX_AMPLITUDE = pow(2, COMPLEX_WIDTH/2)-1 # 1/2 of the max
 AMPL_INCR = 1
diff --git a/libraries/dsp/correlator/src/vhdl/correlator.vhd b/libraries/dsp/correlator/src/vhdl/correlator.vhd
index c030817f86a49f074b3ab45ba880305798cc97f0..96ee2dae71d76a59e19c7bf4818e459e1628fe22 100644
--- a/libraries/dsp/correlator/src/vhdl/correlator.vhd
+++ b/libraries/dsp/correlator/src/vhdl/correlator.vhd
@@ -70,9 +70,9 @@ ARCHITECTURE str OF correlator IS
 
   CONSTANT c_acc_data_w                      : NATURAL := ceil_log2(c_integration_period*(pow2(g_data_w)-1));
 
-  SIGNAL corr_permutator_src_out_2arr_2      : t_dp_sosi_2arr_2(g_nof_inputs*(g_nof_inputs+1)/2-1 DOWNTO 0); -- Array of pairs
-  SIGNAL corr_folder_snk_in_2arr_2           : t_dp_sosi_2arr_2(g_nof_inputs*(g_nof_inputs+1)/2-1 DOWNTO 0); -- Array of pairs, not folded yet
-  SIGNAL corr_folder_src_out_2arr_2          : t_dp_sosi_2arr_2(g_nof_inputs*(g_nof_inputs+1)/2-1 DOWNTO 0); -- Array of pairs, not folded yet
+  SIGNAL corr_permutator_src_out_2arr_2      : t_dp_sosi_2arr_2(c_nof_visibilities-1 DOWNTO 0); -- Array of pairs
+  SIGNAL corr_folder_snk_in_2arr_2           : t_dp_sosi_2arr_2(c_nof_visibilities-1 DOWNTO 0); -- Array of pairs, not folded yet
+  SIGNAL corr_folder_src_out_2arr_2          : t_dp_sosi_2arr_2(c_nof_mults-1 DOWNTO 0);        -- Array of pairs, folded 
   SIGNAL corr_multiplier_src_out_arr         : t_dp_sosi_arr(c_nof_mults-1 DOWNTO 0);
   SIGNAL corr_accumulator_snk_in_arr         : t_dp_sosi_arr(c_nof_mults-1 DOWNTO 0);
   SIGNAL corr_accumulator_src_out_arr        : t_dp_sosi_arr(c_nof_mults-1 DOWNTO 0);
diff --git a/libraries/dsp/correlator/tb/python/tc_correlator.py b/libraries/dsp/correlator/tb/python/tc_correlator.py
index b1934876c61e5db9dab36c746e140fbea174ccda..409ccdb2d023b9079f9cb2c7ad7b0b95d175d23d 100644
--- a/libraries/dsp/correlator/tb/python/tc_correlator.py
+++ b/libraries/dsp/correlator/tb/python/tc_correlator.py
@@ -1,7 +1,7 @@
 #! /usr/bin/env python
 ###############################################################################
 #
-# Copyright (C) 2013
+# Copyright (C) 2014
 # ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
 # P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
 #
diff --git a/libraries/dsp/correlator/tb/vhdl/tb_correlator.vhd b/libraries/dsp/correlator/tb/vhdl/tb_correlator.vhd
index adce654f818c73dd93b2ad1b8974d5e5805f894a..5073a0333d801ea0d5c585f3609387ec903cca1e 100644
--- a/libraries/dsp/correlator/tb/vhdl/tb_correlator.vhd
+++ b/libraries/dsp/correlator/tb/vhdl/tb_correlator.vhd
@@ -36,15 +36,20 @@ END tb_correlator;
 ARCHITECTURE tb OF tb_correlator IS
 
   CONSTANT c_nof_inputs         : NATURAL := 10;
+  CONSTANT c_nof_pre_mult_folds : NATURAL := 0; 
+  CONSTANT c_complex_data_w     : NATURAL := 16;
+  CONSTANT c_conjugate          : BOOLEAN := TRUE;
   CONSTANT c_nof_channels       : NATURAL := 64;
+  CONSTANT c_integration_period : NATURAL := 0;
 
   CONSTANT c_dp_clk_period      : TIME := 10 ns;
   CONSTANT c_mm_clk_period      : TIME := 10 ps;
-  CONSTANT c_complex_data_w     : NATURAL := 16;
+
+
 
   -- Block generator
-  CONSTANT c_bg_block_size              : NATURAL := 1024;
-  CONSTANT c_bg_gapsize                 : NATURAL := 0;
+  CONSTANT c_bg_block_size              : NATURAL := c_nof_channels;
+  CONSTANT c_bg_gapsize                 : NATURAL := c_nof_pre_mult_folds; -- No pre-mult folding = 100% valid
   CONSTANT c_bg_blocks_per_sync         : NATURAL := 10;
   CONSTANT c_bg_ctrl                    : t_diag_block_gen := ('1',                      -- enable             
                                                                '0',                      -- enable_sync        
@@ -129,8 +134,12 @@ BEGIN
   -----------------------------------------------------------------------------
   u_correlator : ENTITY work.correlator
   GENERIC MAP (
-    g_nof_inputs => c_nof_inputs,
-    g_data_w     => c_complex_data_w
+    g_nof_inputs         => c_nof_inputs,
+    g_nof_pre_mult_folds => c_nof_pre_mult_folds,
+    g_data_w             => c_complex_data_w,
+    g_conjugate          => c_conjugate,
+    g_nof_channels       => c_nof_channels,
+    g_integration_period => c_integration_period
   )
   PORT MAP (
     clk         => dp_clk,