From e69dc31fd6fb417cfdad0a229ffd3026cb8f6b36 Mon Sep 17 00:00:00 2001 From: Daniel van der Schuur <schuur@astron.nl> Date: Fri, 24 Feb 2017 10:40:58 +0000 Subject: [PATCH] -Added arts_unb1_sc4. --- .../arts/designs/arts_unb1_sc4/hdllib.cfg | 44 + .../quartus/arts_unb1_sc4_pins.tcl | 8 + .../arts_unb1_sc4/quartus/qsys_mm_master.qsys | 2376 +++++++++++++++++ .../arts_unb1_sc4/src/hex/bf_ss_wide_0_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_0_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_0_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_0_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_0_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_0_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_0_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_0_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_0_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_0_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_0_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_0_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_10_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_10_1.hex | 177 ++ .../src/hex/bf_ss_wide_10_10.hex | 177 ++ .../src/hex/bf_ss_wide_10_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_10_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_10_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_10_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_10_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_10_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_10_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_10_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_10_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_11_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_11_1.hex | 177 ++ .../src/hex/bf_ss_wide_11_10.hex | 177 ++ .../src/hex/bf_ss_wide_11_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_11_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_11_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_11_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_11_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_11_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_11_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_11_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_11_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_1_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_1_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_1_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_1_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_1_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_1_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_1_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_1_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_1_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_1_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_1_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_1_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_2_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_2_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_2_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_2_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_2_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_2_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_2_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_2_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_2_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_2_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_2_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_2_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_3_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_3_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_3_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_3_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_3_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_3_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_3_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_3_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_3_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_3_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_3_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_3_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_4_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_4_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_4_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_4_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_4_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_4_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_4_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_4_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_4_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_4_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_4_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_4_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_5_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_5_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_5_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_5_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_5_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_5_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_5_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_5_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_5_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_5_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_5_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_5_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_6_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_6_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_6_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_6_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_6_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_6_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_6_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_6_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_6_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_6_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_6_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_6_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_7_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_7_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_7_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_7_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_7_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_7_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_7_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_7_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_7_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_7_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_7_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_7_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_8_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_8_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_8_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_8_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_8_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_8_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_8_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_8_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_8_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_8_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_8_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_8_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_9_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_9_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_9_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_9_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_9_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_9_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_9_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_9_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_9_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_9_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_9_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_ss_wide_9_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_0_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_0_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_0_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_0_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_0_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_0_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_0_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_0_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_0_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_0_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_0_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_0_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_10_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_10_1.hex | 177 ++ .../src/hex/bf_weights_10_10.hex | 177 ++ .../src/hex/bf_weights_10_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_10_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_10_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_10_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_10_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_10_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_10_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_10_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_10_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_11_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_11_1.hex | 177 ++ .../src/hex/bf_weights_11_10.hex | 177 ++ .../src/hex/bf_weights_11_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_11_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_11_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_11_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_11_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_11_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_11_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_11_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_11_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_1_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_1_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_1_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_1_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_1_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_1_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_1_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_1_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_1_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_1_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_1_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_1_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_2_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_2_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_2_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_2_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_2_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_2_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_2_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_2_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_2_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_2_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_2_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_2_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_3_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_3_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_3_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_3_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_3_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_3_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_3_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_3_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_3_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_3_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_3_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_3_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_4_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_4_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_4_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_4_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_4_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_4_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_4_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_4_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_4_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_4_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_4_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_4_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_5_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_5_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_5_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_5_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_5_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_5_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_5_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_5_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_5_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_5_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_5_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_5_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_6_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_6_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_6_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_6_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_6_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_6_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_6_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_6_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_6_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_6_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_6_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_6_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_7_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_7_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_7_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_7_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_7_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_7_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_7_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_7_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_7_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_7_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_7_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_7_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_8_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_8_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_8_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_8_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_8_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_8_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_8_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_8_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_8_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_8_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_8_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_8_9.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_9_0.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_9_1.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_9_10.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_9_11.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_9_2.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_9_3.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_9_4.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_9_5.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_9_6.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_9_7.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_9_8.hex | 177 ++ .../arts_unb1_sc4/src/hex/bf_weights_9_9.hex | 177 ++ .../src/python/gen_hex_files_bf_ss_wide.log | 0 .../src/python/gen_hex_files_bf_ss_wide.py | 54 + .../src/python/gen_hex_files_bf_weights.log | 0 .../src/python/gen_hex_files_bf_weights.py | 55 + .../arts_unb1_sc4/src/vhdl/arts_unb1_sc4.vhd | 406 +++ .../src/vhdl/arts_unb1_sc4_input.vhd | 264 ++ .../src/vhdl/arts_unb1_sc4_mm_master.vhd | 635 +++++ .../src/vhdl/arts_unb1_sc4_output.vhd | 71 + .../src/vhdl/arts_unb1_sc4_processing.vhd | 300 +++ .../tb/vhdl/tb_arts_unb1_sc4.vhd | 266 ++ 301 files changed, 55455 insertions(+) create mode 100644 applications/arts/designs/arts_unb1_sc4/hdllib.cfg create mode 100644 applications/arts/designs/arts_unb1_sc4/quartus/arts_unb1_sc4_pins.tcl create mode 100644 applications/arts/designs/arts_unb1_sc4/quartus/qsys_mm_master.qsys create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_0.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_1.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_10.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_11.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_2.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_3.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_4.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_5.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_6.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_7.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_8.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_9.hex create mode 100644 applications/arts/designs/arts_unb1_sc4/src/python/gen_hex_files_bf_ss_wide.log create mode 100644 applications/arts/designs/arts_unb1_sc4/src/python/gen_hex_files_bf_ss_wide.py create mode 100644 applications/arts/designs/arts_unb1_sc4/src/python/gen_hex_files_bf_weights.log create mode 100644 applications/arts/designs/arts_unb1_sc4/src/python/gen_hex_files_bf_weights.py create mode 100644 applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4.vhd create mode 100644 applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4_input.vhd create mode 100644 applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4_mm_master.vhd create mode 100644 applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4_output.vhd create mode 100644 applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4_processing.vhd create mode 100644 applications/arts/designs/arts_unb1_sc4/tb/vhdl/tb_arts_unb1_sc4.vhd diff --git a/applications/arts/designs/arts_unb1_sc4/hdllib.cfg b/applications/arts/designs/arts_unb1_sc4/hdllib.cfg new file mode 100644 index 0000000000..aa8c6c5781 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/hdllib.cfg @@ -0,0 +1,44 @@ +hdl_lib_name = arts_unb1_sc4 +hdl_library_clause_name = arts_unb1_sc4_lib +hdl_lib_uses_synth = common dp mm diag bf tr_10GbE apertif unb1_board +hdl_lib_uses_sim = apertif_unb1_fn_bf_emu +hdl_lib_technology = ip_stratixiv + +synth_files = + ../../../apertif/designs/apertif_unb1_correlator/src/vhdl/node_apertif_unb1_correlator_mesh.vhd + src/vhdl/arts_unb1_sc4_mm_master.vhd + src/vhdl/arts_unb1_sc4_input.vhd + src/vhdl/arts_unb1_sc4_output.vhd + src/vhdl/arts_unb1_sc4_processing.vhd + src/vhdl/arts_unb1_sc4.vhd + +test_bench_files = + tb/vhdl/tb_arts_unb1_sc4.vhd + +#regression_test_vhdl = +# tb/vhdl/tb_arts_unb1_sc4.vhd + +[modelsim_project_file] +modelsim_copy_files = + ../../../apertif/designs/apertif_unb1_fn_bf_emu/src/hex/ hex + src/hex hex + + +[quartus_project_file] +synth_top_level_entity = + +quartus_copy_files = + quartus/qsys_mm_master.qsys . + src/hex hex + +quartus_qsf_files = + $RADIOHDL/boards/uniboard1/libraries/unb1_board/quartus/unb1_board.qsf + +quartus_qip_files = + $HDL_BUILD_DIR/unb1/quartus/arts_unb1_sc4/qsys_mm_master/synthesis/qsys_mm_master.qip + +quartus_tcl_files = + $RADIOHDL/applications/arts/designs/arts_unb1_sc4/quartus/arts_unb1_sc4_pins.tcl + +quartus_sdc_files = + $RADIOHDL/boards/uniboard1/libraries/unb1_board/quartus/unb1_board.sdc diff --git a/applications/arts/designs/arts_unb1_sc4/quartus/arts_unb1_sc4_pins.tcl b/applications/arts/designs/arts_unb1_sc4/quartus/arts_unb1_sc4_pins.tcl new file mode 100644 index 0000000000..0cc4276b44 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/quartus/arts_unb1_sc4_pins.tcl @@ -0,0 +1,8 @@ + +source $::env(RADIOHDL)/boards/uniboard1/libraries/unb1_board/quartus/pinning/COMMON_NODE_general_pins.tcl +source $::env(RADIOHDL)/boards/uniboard1/libraries/unb1_board/quartus/pinning/COMMON_NODE_other_pins.tcl +source $::env(RADIOHDL)/boards/uniboard1/libraries/unb1_board/quartus/pinning/COMMON_NODE_1Gbe_pins.tcl +source $::env(RADIOHDL)/boards/uniboard1/libraries/unb1_board/quartus/pinning/COMMON_NODE_sensor_pins.tcl +source $::env(RADIOHDL)/boards/uniboard1/libraries/unb1_board/quartus/pinning/pins_tr_front_pcs.tcl +source $::env(RADIOHDL)/boards/uniboard1/libraries/unb1_board/quartus/pinning/COMMON_NODE_mesh_tr_clk_pin.tcl +source $::env(RADIOHDL)/boards/uniboard1/libraries/unb1_board/quartus/pinning/FRONT_NODE_mesh_nocmu_pins.tcl diff --git a/applications/arts/designs/arts_unb1_sc4/quartus/qsys_mm_master.qsys b/applications/arts/designs/arts_unb1_sc4/quartus/qsys_mm_master.qsys new file mode 100644 index 0000000000..60da0a9f6b --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/quartus/qsys_mm_master.qsys @@ -0,0 +1,2376 @@ +<?xml version="1.0" encoding="UTF-8"?> +<system name="$${FILENAME}"> + <component + name="$${FILENAME}" + displayName="$${FILENAME}" + version="1.0" + description="" + tags="" + categories="System" /> + <parameter name="bonusData"><![CDATA[bonusData +{ + element $${FILENAME} + { + } + element jtag_uart_0.avalon_jtag_slave + { + datum baseAddress + { + value = "1728"; + type = "long"; + } + } + element avs_eth_0 + { + datum _sortIndex + { + value = "7"; + type = "int"; + } + datum sopceditor_expanded + { + value = "1"; + type = "boolean"; + } + } + element clk_input + { + datum _sortIndex + { + value = "13"; + type = "int"; + } + datum sopceditor_expanded + { + value = "1"; + type = "boolean"; + } + } + element cpu_0 + { + datum _sortIndex + { + value = "8"; + type = "int"; + } + datum sopceditor_expanded + { + value = "1"; + type = "boolean"; + } + } + element cpu_0.jtag_debug_module + { + datum baseAddress + { + value = "24576"; + type = "long"; + } + } + element jtag_uart_0 + { + datum _sortIndex + { + value = "10"; + type = "int"; + } + datum megawizard_uipreferences + { + value = "{}"; + type = "String"; + } + datum sopceditor_expanded + { + value = "1"; + type = "boolean"; + } + } + element reg_dp_offload_rx_hdr_dat.mem + { + datum baseAddress + { + value = "512"; + type = "long"; + } + } + element reg_dp_bsn_align_input.mem + { + datum baseAddress + { + value = "1712"; + type = "long"; + } + } + element reg_dp_offload_tx_hdr_dat.mem + { + datum baseAddress + { + value = "256"; + type = "long"; + } + } + element reg_remu.mem + { + datum baseAddress + { + value = "1504"; + type = "long"; + } + } + element reg_epcs.mem + { + datum baseAddress + { + value = "1472"; + type = "long"; + } + } + element reg_wdi.mem + { + datum baseAddress + { + value = "1744"; + type = "long"; + } + } + element rom_unb_system_info.mem + { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } + datum baseAddress + { + value = "4096"; + type = "long"; + } + } + element reg_dp_bsn_align_mesh.mem + { + datum baseAddress + { + value = "1664"; + type = "long"; + } + } + element reg_mdio_0.mem + { + datum baseAddress + { + value = "1600"; + type = "long"; + } + } + element reg_mdio_1.mem + { + datum baseAddress + { + value = "1568"; + type = "long"; + } + } + element reg_ppsh.mem + { + datum baseAddress + { + value = "1736"; + type = "long"; + } + } + element ram_bf_unit_x_bf_weights.mem + { + datum baseAddress + { + value = "128"; + type = "long"; + } + } + element reg_unb_sens.mem + { + datum baseAddress + { + value = "1440"; + type = "long"; + } + } + element reg_dp_xonoff_output.mem + { + datum baseAddress + { + value = "1752"; + type = "long"; + } + } + element reg_tr_10GbE.mem + { + datum baseAddress + { + value = "262144"; + type = "long"; + } + } + element reg_mdio_2.mem + { + datum baseAddress + { + value = "1536"; + type = "long"; + } + } + element ram_bf_unit_x_ss_ss_wide.mem + { + datum baseAddress + { + value = "1344"; + type = "long"; + } + } + element reg_bf_unit_x_st_sst.mem + { + datum baseAddress + { + value = "1024"; + type = "long"; + } + } + element pio_system_info.mem + { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } + datum baseAddress + { + value = "0"; + type = "long"; + } + } + element reg_tr_xaui.mem + { + datum baseAddress + { + value = "8192"; + type = "long"; + } + } + element ram_bf_unit_x_st_sst.mem + { + datum baseAddress + { + value = "1632"; + type = "long"; + } + } + element avs_eth_0.mms_ram + { + datum baseAddress + { + value = "20480"; + type = "long"; + } + } + element avs_eth_0.mms_reg + { + datum baseAddress + { + value = "1280"; + type = "long"; + } + } + element avs_eth_0.mms_tse + { + datum baseAddress + { + value = "16384"; + type = "long"; + } + } + element onchip_memory2_0 + { + datum _sortIndex + { + value = "9"; + type = "int"; + } + datum megawizard_uipreferences + { + value = "{output_language=VHDL, output_directory=D:\\svnroot\\UniBoard_FP7\\UniBoard\\trunk\\Firmware\\designs\\unb_unb1_minimal\\build\\synth\\quartus}"; + type = "String"; + } + datum sopceditor_expanded + { + value = "1"; + type = "boolean"; + } + } + element pio_system_info + { + datum _sortIndex + { + value = "4"; + type = "int"; + } + } + element pio_wdi + { + datum _sortIndex + { + value = "11"; + type = "int"; + } + datum megawizard_uipreferences + { + value = "{}"; + type = "String"; + } + datum sopceditor_expanded + { + value = "1"; + type = "boolean"; + } + } + element ram_bf_unit_x_bf_weights + { + datum _sortIndex + { + value = "22"; + type = "int"; + } + } + element ram_bf_unit_x_ss_ss_wide + { + datum _sortIndex + { + value = "21"; + type = "int"; + } + } + element ram_bf_unit_x_st_sst + { + datum _sortIndex + { + value = "23"; + type = "int"; + } + } + element avs_eth_0.ram_write + { + datum _tags + { + value = ""; + type = "String"; + } + } + element reg_bf_unit_x_st_sst + { + datum _sortIndex + { + value = "24"; + type = "int"; + } + } + element reg_dp_bsn_align_input + { + datum _sortIndex + { + value = "26"; + type = "int"; + } + } + element reg_dp_bsn_align_mesh + { + datum _sortIndex + { + value = "27"; + type = "int"; + } + } + element reg_dp_offload_rx_hdr_dat + { + datum _sortIndex + { + value = "20"; + type = "int"; + } + } + element reg_dp_offload_tx_hdr_dat + { + datum _sortIndex + { + value = "14"; + type = "int"; + } + } + element reg_dp_xonoff_output + { + datum _sortIndex + { + value = "25"; + type = "int"; + } + } + element reg_epcs + { + datum _sortIndex + { + value = "1"; + type = "int"; + } + } + element reg_mdio_0 + { + datum _sortIndex + { + value = "17"; + type = "int"; + } + } + element reg_mdio_1 + { + datum _sortIndex + { + value = "18"; + type = "int"; + } + } + element reg_mdio_2 + { + datum _sortIndex + { + value = "19"; + type = "int"; + } + } + element reg_ppsh + { + datum _sortIndex + { + value = "3"; + type = "int"; + } + } + element reg_remu + { + datum _sortIndex + { + value = "2"; + type = "int"; + } + } + element reg_tr_10GbE + { + datum _sortIndex + { + value = "15"; + type = "int"; + } + } + element reg_tr_xaui + { + datum _sortIndex + { + value = "16"; + type = "int"; + } + } + element reg_unb_sens + { + datum _sortIndex + { + value = "0"; + type = "int"; + } + } + element reg_wdi + { + datum _sortIndex + { + value = "6"; + type = "int"; + } + } + element rom_unb_system_info + { + datum _sortIndex + { + value = "5"; + type = "int"; + } + } + element pio_wdi.s1 + { + datum baseAddress + { + value = "1696"; + type = "long"; + } + } + element timer_0.s1 + { + datum baseAddress + { + value = "1408"; + type = "long"; + } + } + element onchip_memory2_0.s1 + { + datum _lockedAddress + { + value = "1"; + type = "boolean"; + } + datum baseAddress + { + value = "131072"; + type = "long"; + } + } + element timer_0 + { + datum _sortIndex + { + value = "12"; + type = "int"; + } + datum sopceditor_expanded + { + value = "1"; + type = "boolean"; + } + } +} +]]></parameter> + <parameter name="clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="device" value="EP4SGX230KF40C2" /> + <parameter name="deviceFamily" value="STRATIXIV" /> + <parameter name="deviceSpeedGrade" value="" /> + <parameter name="fabricMode" value="QSYS" /> + <parameter name="generateLegacySim" value="false" /> + <parameter name="generationId" value="0" /> + <parameter name="globalResetBus" value="false" /> + <parameter name="hdlLanguage" value="VHDL" /> + <parameter name="maxAdditionalLatency" value="0" /> + <parameter name="projectName" value="arts_unb1_sc4.qpf" /> + <parameter name="sopcBorderPoints" value="false" /> + <parameter name="systemHash" value="1" /> + <parameter name="timeStamp" value="1479477466470" /> + <parameter name="useTestBenchNamingPattern" value="false" /> + <instanceScript></instanceScript> + <interface + name="pio_wdi_external_connection" + internal="pio_wdi.external_connection" + type="conduit" + dir="end"> + <port name="out_port_from_the_pio_wdi" internal="out_port" /> + </interface> + <interface + name="eth1g_mm_rst" + internal="avs_eth_0.reset" + type="conduit" + dir="end" /> + <interface name="eth1g_mm_clk" internal="avs_eth_0.clk" type="conduit" dir="end" /> + <interface + name="eth1g_tse_address" + internal="avs_eth_0.tse_address" + type="conduit" + dir="end" /> + <interface + name="eth1g_tse_write" + internal="avs_eth_0.tse_write" + type="conduit" + dir="end" /> + <interface + name="eth1g_tse_read" + internal="avs_eth_0.tse_read" + type="conduit" + dir="end" /> + <interface + name="eth1g_tse_writedata" + internal="avs_eth_0.tse_writedata" + type="conduit" + dir="end" /> + <interface + name="eth1g_tse_readdata" + internal="avs_eth_0.tse_readdata" + type="conduit" + dir="end" /> + <interface + name="eth1g_tse_waitrequest" + internal="avs_eth_0.tse_waitrequest" + type="conduit" + dir="end" /> + <interface + name="eth1g_reg_address" + internal="avs_eth_0.reg_address" + type="conduit" + dir="end" /> + <interface + name="eth1g_reg_write" + internal="avs_eth_0.reg_write" + type="conduit" + dir="end" /> + <interface + name="eth1g_reg_read" + internal="avs_eth_0.reg_read" + type="conduit" + dir="end" /> + <interface + name="eth1g_reg_writedata" + internal="avs_eth_0.reg_writedata" + type="conduit" + dir="end" /> + <interface + name="eth1g_reg_readdata" + internal="avs_eth_0.reg_readdata" + type="conduit" + dir="end" /> + <interface + name="eth1g_ram_address" + internal="avs_eth_0.ram_address" + type="conduit" + dir="end" /> + <interface + name="eth1g_ram_write" + internal="avs_eth_0.ram_write" + type="conduit" + dir="end" /> + <interface + name="eth1g_ram_read" + internal="avs_eth_0.ram_read" + type="conduit" + dir="end" /> + <interface + name="eth1g_ram_writedata" + internal="avs_eth_0.ram_writedata" + type="conduit" + dir="end" /> + <interface + name="eth1g_ram_readdata" + internal="avs_eth_0.ram_readdata" + type="conduit" + dir="end" /> + <interface name="eth1g_irq" internal="avs_eth_0.irq" type="conduit" dir="end" /> + <interface name="clk_in" internal="clk_input.clk_in" type="clock" dir="end" /> + <interface + name="reset_in" + internal="clk_input.clk_in_reset" + type="reset" + dir="end" /> + <interface + name="reg_unb_sens_reset" + internal="reg_unb_sens.reset" + type="conduit" + dir="end" /> + <interface + name="reg_unb_sens_clk" + internal="reg_unb_sens.clk" + type="conduit" + dir="end" /> + <interface + name="reg_unb_sens_address" + internal="reg_unb_sens.address" + type="conduit" + dir="end" /> + <interface + name="reg_unb_sens_write" + internal="reg_unb_sens.write" + type="conduit" + dir="end" /> + <interface + name="reg_unb_sens_writedata" + internal="reg_unb_sens.writedata" + type="conduit" + dir="end" /> + <interface + name="reg_unb_sens_read" + internal="reg_unb_sens.read" + type="conduit" + dir="end" /> + <interface + name="reg_unb_sens_readdata" + internal="reg_unb_sens.readdata" + type="conduit" + dir="end" /> + <interface + name="reg_epcs_reset" + internal="reg_epcs.reset" + type="conduit" + dir="end" /> + <interface name="reg_epcs_clk" internal="reg_epcs.clk" type="conduit" dir="end" /> + <interface + name="reg_epcs_address" + internal="reg_epcs.address" + type="conduit" + dir="end" /> + <interface + name="reg_epcs_write" + internal="reg_epcs.write" + type="conduit" + dir="end" /> + <interface + name="reg_epcs_writedata" + internal="reg_epcs.writedata" + type="conduit" + dir="end" /> + <interface + name="reg_epcs_read" + internal="reg_epcs.read" + type="conduit" + dir="end" /> + <interface + name="reg_epcs_readdata" + internal="reg_epcs.readdata" + type="conduit" + dir="end" /> + <interface + name="reg_remu_reset" + internal="reg_remu.reset" + type="conduit" + dir="end" /> + <interface name="reg_remu_clk" internal="reg_remu.clk" type="conduit" dir="end" /> + <interface + name="reg_remu_address" + internal="reg_remu.address" + type="conduit" + dir="end" /> + <interface + name="reg_remu_write" + internal="reg_remu.write" + type="conduit" + dir="end" /> + <interface + name="reg_remu_writedata" + internal="reg_remu.writedata" + type="conduit" + dir="end" /> + <interface + name="reg_remu_read" + internal="reg_remu.read" + type="conduit" + dir="end" /> + <interface + name="reg_remu_readdata" + internal="reg_remu.readdata" + type="conduit" + dir="end" /> + <interface + name="reg_ppsh_reset" + internal="reg_ppsh.reset" + type="conduit" + dir="end" /> + <interface name="reg_ppsh_clk" internal="reg_ppsh.clk" type="conduit" dir="end" /> + <interface + name="reg_ppsh_address" + internal="reg_ppsh.address" + type="conduit" + dir="end" /> + <interface + name="reg_ppsh_write" + internal="reg_ppsh.write" + type="conduit" + dir="end" /> + <interface + name="reg_ppsh_writedata" + internal="reg_ppsh.writedata" + type="conduit" + dir="end" /> + <interface + name="reg_ppsh_read" + internal="reg_ppsh.read" + type="conduit" + dir="end" /> + <interface + name="reg_ppsh_readdata" + internal="reg_ppsh.readdata" + type="conduit" + dir="end" /> + <interface + name="pio_system_info_reset" + internal="pio_system_info.reset" + type="conduit" + dir="end" /> + <interface + name="pio_system_info_clk" + internal="pio_system_info.clk" + type="conduit" + dir="end" /> + <interface + name="pio_system_info_address" + internal="pio_system_info.address" + type="conduit" + dir="end" /> + <interface + name="pio_system_info_write" + internal="pio_system_info.write" + type="conduit" + dir="end" /> + <interface + name="pio_system_info_writedata" + internal="pio_system_info.writedata" + type="conduit" + dir="end" /> + <interface + name="pio_system_info_read" + internal="pio_system_info.read" + type="conduit" + dir="end" /> + <interface + name="pio_system_info_readdata" + internal="pio_system_info.readdata" + type="conduit" + dir="end" /> + <interface + name="rom_unb_system_info_reset" + internal="rom_unb_system_info.reset" + type="conduit" + dir="end" /> + <interface + name="rom_unb_system_info_clk" + internal="rom_unb_system_info.clk" + type="conduit" + dir="end" /> + <interface + name="rom_unb_system_info_address" + internal="rom_unb_system_info.address" + type="conduit" + dir="end" /> + <interface + name="rom_unb_system_info_write" + internal="rom_unb_system_info.write" + type="conduit" + dir="end" /> + <interface + name="rom_unb_system_info_writedata" + internal="rom_unb_system_info.writedata" + type="conduit" + dir="end" /> + <interface + name="rom_unb_system_info_read" + internal="rom_unb_system_info.read" + type="conduit" + dir="end" /> + <interface + name="rom_unb_system_info_readdata" + internal="rom_unb_system_info.readdata" + type="conduit" + dir="end" /> + <interface + name="reg_wdi_reset" + internal="reg_wdi.reset" + type="conduit" + dir="end" /> + <interface name="reg_wdi_clk" internal="reg_wdi.clk" type="conduit" dir="end" /> + <interface + name="reg_wdi_address" + internal="reg_wdi.address" + type="conduit" + dir="end" /> + <interface + name="reg_wdi_write" + internal="reg_wdi.write" + type="conduit" + dir="end" /> + <interface + name="reg_wdi_writedata" + internal="reg_wdi.writedata" + type="conduit" + dir="end" /> + <interface name="reg_wdi_read" internal="reg_wdi.read" type="conduit" dir="end" /> + <interface + name="reg_wdi_readdata" + internal="reg_wdi.readdata" + type="conduit" + dir="end" /> + <interface + name="reg_dp_offload_tx_hdr_dat_reset" + internal="reg_dp_offload_tx_hdr_dat.reset" + type="conduit" + dir="end" /> + <interface + name="reg_dp_offload_tx_hdr_dat_clk" + internal="reg_dp_offload_tx_hdr_dat.clk" + type="conduit" + dir="end" /> + <interface + name="reg_dp_offload_tx_hdr_dat_address" + internal="reg_dp_offload_tx_hdr_dat.address" + type="conduit" + dir="end" /> + <interface + name="reg_dp_offload_tx_hdr_dat_write" + internal="reg_dp_offload_tx_hdr_dat.write" + type="conduit" + dir="end" /> + <interface + name="reg_dp_offload_tx_hdr_dat_writedata" + internal="reg_dp_offload_tx_hdr_dat.writedata" + type="conduit" + dir="end" /> + <interface + name="reg_dp_offload_tx_hdr_dat_read" + internal="reg_dp_offload_tx_hdr_dat.read" + type="conduit" + dir="end" /> + <interface + name="reg_dp_offload_tx_hdr_dat_readdata" + internal="reg_dp_offload_tx_hdr_dat.readdata" + type="conduit" + dir="end" /> + <interface + name="reg_tr_10gbe_reset" + internal="reg_tr_10GbE.reset" + type="conduit" + dir="end" /> + <interface + name="reg_tr_10gbe_clk" + internal="reg_tr_10GbE.clk" + type="conduit" + dir="end" /> + <interface + name="reg_tr_10gbe_address" + internal="reg_tr_10GbE.address" + type="conduit" + dir="end" /> + <interface + name="reg_tr_10gbe_write" + internal="reg_tr_10GbE.write" + type="conduit" + dir="end" /> + <interface + name="reg_tr_10gbe_writedata" + internal="reg_tr_10GbE.writedata" + type="conduit" + dir="end" /> + <interface + name="reg_tr_10gbe_read" + internal="reg_tr_10GbE.read" + type="conduit" + dir="end" /> + <interface + name="reg_tr_10gbe_readdata" + internal="reg_tr_10GbE.readdata" + type="conduit" + dir="end" /> + <interface + name="reg_tr_10gbe_waitrequest" + internal="reg_tr_10GbE.waitrequest" + type="conduit" + dir="end" /> + <interface + name="reg_tr_xaui_reset" + internal="reg_tr_xaui.reset" + type="conduit" + dir="end" /> + <interface + name="reg_tr_xaui_address" + internal="reg_tr_xaui.address" + type="conduit" + dir="end" /> + <interface + name="reg_tr_xaui_clk" + internal="reg_tr_xaui.clk" + type="conduit" + dir="end" /> + <interface + name="reg_tr_xaui_write" + internal="reg_tr_xaui.write" + type="conduit" + dir="end" /> + <interface + name="reg_tr_xaui_writedata" + internal="reg_tr_xaui.writedata" + type="conduit" + dir="end" /> + <interface + name="reg_tr_xaui_read" + internal="reg_tr_xaui.read" + type="conduit" + dir="end" /> + <interface + name="reg_tr_xaui_readdata" + internal="reg_tr_xaui.readdata" + type="conduit" + dir="end" /> + <interface + name="reg_tr_xaui_waitrequest" + internal="reg_tr_xaui.waitrequest" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_0_reset" + internal="reg_mdio_0.reset" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_0_clk" + internal="reg_mdio_0.clk" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_0_address" + internal="reg_mdio_0.address" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_0_write" + internal="reg_mdio_0.write" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_0_writedata" + internal="reg_mdio_0.writedata" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_0_read" + internal="reg_mdio_0.read" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_0_readdata" + internal="reg_mdio_0.readdata" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_1_reset" + internal="reg_mdio_1.reset" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_1_clk" + internal="reg_mdio_1.clk" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_1_address" + internal="reg_mdio_1.address" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_1_write" + internal="reg_mdio_1.write" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_1_writedata" + internal="reg_mdio_1.writedata" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_1_read" + internal="reg_mdio_1.read" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_1_readdata" + internal="reg_mdio_1.readdata" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_2_reset" + internal="reg_mdio_2.reset" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_2_clk" + internal="reg_mdio_2.clk" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_2_address" + internal="reg_mdio_2.address" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_2_write" + internal="reg_mdio_2.write" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_2_writedata" + internal="reg_mdio_2.writedata" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_2_read" + internal="reg_mdio_2.read" + type="conduit" + dir="end" /> + <interface + name="reg_mdio_2_readdata" + internal="reg_mdio_2.readdata" + type="conduit" + dir="end" /> + <interface + name="reg_dp_offload_rx_hdr_dat_reset" + internal="reg_dp_offload_rx_hdr_dat.reset" + type="conduit" + dir="end" /> + <interface + name="reg_dp_offload_rx_hdr_dat_clk" + internal="reg_dp_offload_rx_hdr_dat.clk" + type="conduit" + dir="end" /> + <interface + name="reg_dp_offload_rx_hdr_dat_address" + internal="reg_dp_offload_rx_hdr_dat.address" + type="conduit" + dir="end" /> + <interface + name="reg_dp_offload_rx_hdr_dat_write" + internal="reg_dp_offload_rx_hdr_dat.write" + type="conduit" + dir="end" /> + <interface + name="reg_dp_offload_rx_hdr_dat_writedata" + internal="reg_dp_offload_rx_hdr_dat.writedata" + type="conduit" + dir="end" /> + <interface + name="reg_dp_offload_rx_hdr_dat_read" + internal="reg_dp_offload_rx_hdr_dat.read" + type="conduit" + dir="end" /> + <interface + name="reg_dp_offload_rx_hdr_dat_readdata" + internal="reg_dp_offload_rx_hdr_dat.readdata" + type="conduit" + dir="end" /> + <interface + name="reg_bf_unit_x_st_sst_reset" + internal="reg_bf_unit_x_st_sst.reset" + type="conduit" + dir="end" /> + <interface + name="reg_bf_unit_x_st_sst_address" + internal="reg_bf_unit_x_st_sst.address" + type="conduit" + dir="end" /> + <interface + name="reg_bf_unit_x_st_sst_clk" + internal="reg_bf_unit_x_st_sst.clk" + type="conduit" + dir="end" /> + <interface + name="reg_bf_unit_x_st_sst_write" + internal="reg_bf_unit_x_st_sst.write" + type="conduit" + dir="end" /> + <interface + name="reg_bf_unit_x_st_sst_writedata" + internal="reg_bf_unit_x_st_sst.writedata" + type="conduit" + dir="end" /> + <interface + name="reg_bf_unit_x_st_sst_read" + internal="reg_bf_unit_x_st_sst.read" + type="conduit" + dir="end" /> + <interface + name="reg_bf_unit_x_st_sst_readdata" + internal="reg_bf_unit_x_st_sst.readdata" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_bf_weights_reset" + internal="ram_bf_unit_x_bf_weights.reset" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_bf_weights_clk" + internal="ram_bf_unit_x_bf_weights.clk" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_bf_weights_address" + internal="ram_bf_unit_x_bf_weights.address" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_bf_weights_write" + internal="ram_bf_unit_x_bf_weights.write" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_bf_weights_writedata" + internal="ram_bf_unit_x_bf_weights.writedata" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_bf_weights_read" + internal="ram_bf_unit_x_bf_weights.read" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_bf_weights_readdata" + internal="ram_bf_unit_x_bf_weights.readdata" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_ss_ss_wide_reset" + internal="ram_bf_unit_x_ss_ss_wide.reset" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_ss_ss_wide_clk" + internal="ram_bf_unit_x_ss_ss_wide.clk" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_ss_ss_wide_address" + internal="ram_bf_unit_x_ss_ss_wide.address" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_ss_ss_wide_write" + internal="ram_bf_unit_x_ss_ss_wide.write" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_ss_ss_wide_writedata" + internal="ram_bf_unit_x_ss_ss_wide.writedata" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_ss_ss_wide_read" + internal="ram_bf_unit_x_ss_ss_wide.read" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_ss_ss_wide_readdata" + internal="ram_bf_unit_x_ss_ss_wide.readdata" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_st_sst_clk" + internal="ram_bf_unit_x_st_sst.clk" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_st_sst_reset" + internal="ram_bf_unit_x_st_sst.reset" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_st_sst_address" + internal="ram_bf_unit_x_st_sst.address" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_st_sst_write" + internal="ram_bf_unit_x_st_sst.write" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_st_sst_writedata" + internal="ram_bf_unit_x_st_sst.writedata" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_st_sst_read" + internal="ram_bf_unit_x_st_sst.read" + type="conduit" + dir="end" /> + <interface + name="ram_bf_unit_x_st_sst_readdata" + internal="ram_bf_unit_x_st_sst.readdata" + type="conduit" + dir="end" /> + <interface + name="reg_dp_xonoff_output_reset" + internal="reg_dp_xonoff_output.reset" + type="conduit" + dir="end" /> + <interface + name="reg_dp_xonoff_output_clk" + internal="reg_dp_xonoff_output.clk" + type="conduit" + dir="end" /> + <interface + name="reg_dp_xonoff_output_address" + internal="reg_dp_xonoff_output.address" + type="conduit" + dir="end" /> + <interface + name="reg_dp_xonoff_output_write" + internal="reg_dp_xonoff_output.write" + type="conduit" + dir="end" /> + <interface + name="reg_dp_xonoff_output_writedata" + internal="reg_dp_xonoff_output.writedata" + type="conduit" + dir="end" /> + <interface + name="reg_dp_xonoff_output_read" + internal="reg_dp_xonoff_output.read" + type="conduit" + dir="end" /> + <interface + name="reg_dp_xonoff_output_readdata" + internal="reg_dp_xonoff_output.readdata" + type="conduit" + dir="end" /> + <interface + name="reg_dp_bsn_align_input_reset" + internal="reg_dp_bsn_align_input.reset" + type="conduit" + dir="end" /> + <interface + name="reg_dp_bsn_align_input_clk" + internal="reg_dp_bsn_align_input.clk" + type="conduit" + dir="end" /> + <interface + name="reg_dp_bsn_align_input_address" + internal="reg_dp_bsn_align_input.address" + type="conduit" + dir="end" /> + <interface + name="reg_dp_bsn_align_input_write" + internal="reg_dp_bsn_align_input.write" + type="conduit" + dir="end" /> + <interface + name="reg_dp_bsn_align_input_writedata" + internal="reg_dp_bsn_align_input.writedata" + type="conduit" + dir="end" /> + <interface + name="reg_dp_bsn_align_input_read" + internal="reg_dp_bsn_align_input.read" + type="conduit" + dir="end" /> + <interface + name="reg_dp_bsn_align_input_readdata" + internal="reg_dp_bsn_align_input.readdata" + type="conduit" + dir="end" /> + <interface + name="reg_dp_bsn_align_mesh_reset" + internal="reg_dp_bsn_align_mesh.reset" + type="conduit" + dir="end" /> + <interface + name="reg_dp_bsn_align_mesh_clk" + internal="reg_dp_bsn_align_mesh.clk" + type="conduit" + dir="end" /> + <interface + name="reg_dp_bsn_align_mesh_address" + internal="reg_dp_bsn_align_mesh.address" + type="conduit" + dir="end" /> + <interface + name="reg_dp_bsn_align_mesh_write" + internal="reg_dp_bsn_align_mesh.write" + type="conduit" + dir="end" /> + <interface + name="reg_dp_bsn_align_mesh_writedata" + internal="reg_dp_bsn_align_mesh.writedata" + type="conduit" + dir="end" /> + <interface + name="reg_dp_bsn_align_mesh_read" + internal="reg_dp_bsn_align_mesh.read" + type="conduit" + dir="end" /> + <interface + name="reg_dp_bsn_align_mesh_readdata" + internal="reg_dp_bsn_align_mesh.readdata" + type="conduit" + dir="end" /> + <module + kind="altera_avalon_onchip_memory2" + version="11.1" + enabled="1" + name="onchip_memory2_0"> + <parameter name="allowInSystemMemoryContentEditor" value="false" /> + <parameter name="autoInitializationFileName">qsys_mm_master_onchip_memory2_0</parameter> + <parameter name="blockType" value="M144K" /> + <parameter name="dataWidth" value="32" /> + <parameter name="deviceFamily" value="Stratix IV" /> + <parameter name="dualPort" value="false" /> + <parameter name="initMemContent" value="true" /> + <parameter name="initializationFileName" value="onchip_memory2_0" /> + <parameter name="instanceID" value="NONE" /> + <parameter name="memorySize" value="131072" /> + <parameter name="readDuringWriteMode" value="DONT_CARE" /> + <parameter name="simAllowMRAMContentsFile" value="false" /> + <parameter name="simMemInitOnlyFilename" value="0" /> + <parameter name="singleClockOperation" value="false" /> + <parameter name="slave1Latency" value="1" /> + <parameter name="slave2Latency" value="1" /> + <parameter name="useNonDefaultInitFile" value="true" /> + <parameter name="useShallowMemBlocks" value="false" /> + <parameter name="writable" value="true" /> + </module> + <module + kind="altera_avalon_jtag_uart" + version="11.1" + enabled="1" + name="jtag_uart_0"> + <parameter name="allowMultipleConnections" value="false" /> + <parameter name="hubInstanceID" value="0" /> + <parameter name="readBufferDepth" value="64" /> + <parameter name="readIRQThreshold" value="8" /> + <parameter name="simInputCharacterStream"><![CDATA[a +q]]></parameter> + <parameter name="simInteractiveOptions">INTERACTIVE_ASCII_OUTPUT</parameter> + <parameter name="useRegistersForReadBuffer" value="false" /> + <parameter name="useRegistersForWriteBuffer" value="false" /> + <parameter name="useRelativePathForSimFile" value="false" /> + <parameter name="writeBufferDepth" value="64" /> + <parameter name="writeIRQThreshold" value="8" /> + </module> + <module kind="altera_avalon_pio" version="11.1" enabled="1" name="pio_wdi"> + <parameter name="bitClearingEdgeCapReg" value="false" /> + <parameter name="bitModifyingOutReg" value="false" /> + <parameter name="captureEdge" value="false" /> + <parameter name="clockRate" value="25000000" /> + <parameter name="direction" value="Output" /> + <parameter name="edgeType" value="RISING" /> + <parameter name="generateIRQ" value="false" /> + <parameter name="irqType" value="LEVEL" /> + <parameter name="resetValue" value="0" /> + <parameter name="simDoTestBenchWiring" value="false" /> + <parameter name="simDrivenValue" value="0" /> + <parameter name="width" value="1" /> + </module> + <module kind="altera_avalon_timer" version="11.1" enabled="1" name="timer_0"> + <parameter name="alwaysRun" value="true" /> + <parameter name="counterSize" value="32" /> + <parameter name="fixedPeriod" value="true" /> + <parameter name="period" value="1" /> + <parameter name="periodUnits" value="MSEC" /> + <parameter name="resetOutput" value="false" /> + <parameter name="snapshot" value="false" /> + <parameter name="systemFrequency" value="25000000" /> + <parameter name="timeoutPulseOutput" value="false" /> + <parameter name="timerPreset">SIMPLE_PERIODIC_INTERRUPT</parameter> + </module> + <module kind="altera_nios2_qsys" version="11.1" enabled="1" name="cpu_0"> + <parameter name="setting_showUnpublishedSettings" value="false" /> + <parameter name="setting_showInternalSettings" value="false" /> + <parameter name="setting_preciseSlaveAccessErrorException" value="false" /> + <parameter name="setting_preciseIllegalMemAccessException" value="false" /> + <parameter name="setting_preciseDivisionErrorException" value="false" /> + <parameter name="setting_performanceCounter" value="false" /> + <parameter name="setting_illegalMemAccessDetection" value="false" /> + <parameter name="setting_illegalInstructionsTrap" value="false" /> + <parameter name="setting_fullWaveformSignals" value="false" /> + <parameter name="setting_extraExceptionInfo" value="false" /> + <parameter name="setting_exportPCB" value="false" /> + <parameter name="setting_debugSimGen" value="false" /> + <parameter name="setting_clearXBitsLDNonBypass" value="true" /> + <parameter name="setting_bit31BypassDCache" value="true" /> + <parameter name="setting_bigEndian" value="false" /> + <parameter name="setting_bhtIndexPcOnly" value="false" /> + <parameter name="setting_avalonDebugPortPresent" value="false" /> + <parameter name="setting_alwaysEncrypt" value="true" /> + <parameter name="setting_allowFullAddressRange" value="false" /> + <parameter name="setting_activateTrace" value="true" /> + <parameter name="setting_activateTestEndChecker" value="false" /> + <parameter name="setting_activateMonitors" value="true" /> + <parameter name="setting_activateModelChecker" value="false" /> + <parameter name="setting_HDLSimCachesCleared" value="true" /> + <parameter name="setting_HBreakTest" value="false" /> + <parameter name="muldiv_divider" value="false" /> + <parameter name="mpu_useLimit" value="false" /> + <parameter name="mpu_enabled" value="false" /> + <parameter name="mmu_enabled" value="false" /> + <parameter name="mmu_autoAssignTlbPtrSz" value="true" /> + <parameter name="manuallyAssignCpuID" value="false" /> + <parameter name="debug_triggerArming" value="true" /> + <parameter name="debug_embeddedPLL" value="true" /> + <parameter name="debug_debugReqSignals" value="false" /> + <parameter name="debug_assignJtagInstanceID" value="false" /> + <parameter name="dcache_omitDataMaster" value="false" /> + <parameter name="cpuReset" value="false" /> + <parameter name="is_hardcopy_compatible" value="false" /> + <parameter name="setting_shadowRegisterSets" value="0" /> + <parameter name="mpu_numOfInstRegion" value="8" /> + <parameter name="mpu_numOfDataRegion" value="8" /> + <parameter name="mmu_TLBMissExcOffset" value="0" /> + <parameter name="debug_jtagInstanceID" value="0" /> + <parameter name="resetOffset" value="0" /> + <parameter name="exceptionOffset" value="32" /> + <parameter name="cpuID" value="0" /> + <parameter name="cpuID_stored" value="0" /> + <parameter name="breakOffset" value="32" /> + <parameter name="userDefinedSettings" value="" /> + <parameter name="resetSlave" value="onchip_memory2_0.s1" /> + <parameter name="mmu_TLBMissExcSlave" value="" /> + <parameter name="exceptionSlave" value="onchip_memory2_0.s1" /> + <parameter name="breakSlave">cpu_0.jtag_debug_module</parameter> + <parameter name="setting_perfCounterWidth" value="32" /> + <parameter name="setting_interruptControllerType" value="Internal" /> + <parameter name="setting_branchPredictionType" value="Automatic" /> + <parameter name="setting_bhtPtrSz" value="8" /> + <parameter name="muldiv_multiplierType" value="DSPBlock" /> + <parameter name="mpu_minInstRegionSize" value="12" /> + <parameter name="mpu_minDataRegionSize" value="12" /> + <parameter name="mmu_uitlbNumEntries" value="4" /> + <parameter name="mmu_udtlbNumEntries" value="6" /> + <parameter name="mmu_tlbPtrSz" value="7" /> + <parameter name="mmu_tlbNumWays" value="16" /> + <parameter name="mmu_processIDNumBits" value="8" /> + <parameter name="impl" value="Small" /> + <parameter name="icache_size" value="4096" /> + <parameter name="icache_ramBlockType" value="Automatic" /> + <parameter name="icache_numTCIM" value="0" /> + <parameter name="icache_burstType" value="None" /> + <parameter name="dcache_bursts" value="false" /> + <parameter name="debug_level" value="Level1" /> + <parameter name="debug_OCIOnchipTrace" value="_128" /> + <parameter name="dcache_size" value="2048" /> + <parameter name="dcache_ramBlockType" value="Automatic" /> + <parameter name="dcache_numTCDM" value="0" /> + <parameter name="dcache_lineSize" value="32" /> + <parameter name="instAddrWidth" value="18" /> + <parameter name="dataAddrWidth" value="19" /> + <parameter name="tightlyCoupledDataMaster0AddrWidth" value="1" /> + <parameter name="tightlyCoupledDataMaster1AddrWidth" value="1" /> + <parameter name="tightlyCoupledDataMaster2AddrWidth" value="1" /> + <parameter name="tightlyCoupledDataMaster3AddrWidth" value="1" /> + <parameter name="tightlyCoupledInstructionMaster0AddrWidth" value="1" /> + <parameter name="tightlyCoupledInstructionMaster1AddrWidth" value="1" /> + <parameter name="tightlyCoupledInstructionMaster2AddrWidth" value="1" /> + <parameter name="tightlyCoupledInstructionMaster3AddrWidth" value="1" /> + <parameter name="instSlaveMapParam"><![CDATA[<address-map><slave name='cpu_0.jtag_debug_module' start='0x6000' end='0x6800' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' /></address-map>]]></parameter> + <parameter name="dataSlaveMapParam"><![CDATA[<address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' /><slave name='ram_bf_unit_x_bf_weights.mem' start='0x80' end='0x100' /><slave name='reg_dp_offload_tx_hdr_dat.mem' start='0x100' end='0x200' /><slave name='reg_dp_offload_rx_hdr_dat.mem' start='0x200' end='0x400' /><slave name='reg_bf_unit_x_st_sst.mem' start='0x400' end='0x500' /><slave name='avs_eth_0.mms_reg' start='0x500' end='0x540' /><slave name='ram_bf_unit_x_ss_ss_wide.mem' start='0x540' end='0x580' /><slave name='timer_0.s1' start='0x580' end='0x5A0' /><slave name='reg_unb_sens.mem' start='0x5A0' end='0x5C0' /><slave name='reg_epcs.mem' start='0x5C0' end='0x5E0' /><slave name='reg_remu.mem' start='0x5E0' end='0x600' /><slave name='reg_mdio_2.mem' start='0x600' end='0x620' /><slave name='reg_mdio_1.mem' start='0x620' end='0x640' /><slave name='reg_mdio_0.mem' start='0x640' end='0x660' /><slave name='ram_bf_unit_x_st_sst.mem' start='0x660' end='0x680' /><slave name='reg_dp_bsn_align_mesh.mem' start='0x680' end='0x6A0' /><slave name='pio_wdi.s1' start='0x6A0' end='0x6B0' /><slave name='reg_dp_bsn_align_input.mem' start='0x6B0' end='0x6C0' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x6C0' end='0x6C8' /><slave name='reg_ppsh.mem' start='0x6C8' end='0x6D0' /><slave name='reg_wdi.mem' start='0x6D0' end='0x6D8' /><slave name='reg_dp_xonoff_output.mem' start='0x6D8' end='0x6E0' /><slave name='rom_unb_system_info.mem' start='0x1000' end='0x2000' /><slave name='reg_tr_xaui.mem' start='0x2000' end='0x4000' /><slave name='avs_eth_0.mms_tse' start='0x4000' end='0x5000' /><slave name='avs_eth_0.mms_ram' start='0x5000' end='0x6000' /><slave name='cpu_0.jtag_debug_module' start='0x6000' end='0x6800' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' /><slave name='reg_tr_10GbE.mem' start='0x40000' end='0x60000' /></address-map>]]></parameter> + <parameter name="clockFrequency" value="25000000" /> + <parameter name="deviceFamilyName" value="Stratix IV" /> + <parameter name="internalIrqMaskSystemInfo" value="7" /> + <parameter name="customInstSlavesSystemInfo" value="<info/>" /> + <parameter name="deviceFeaturesSystemInfo">ADDRESS_STALL 1 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 1 DSP_SHIFTER_BLOCK 1 DUMP_ASM_LAB_BITS_FOR_POWER 1 EMUL 0 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FITTER_USE_FALLING_EDGE_DELAY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 HARDCOPY 0 HAS_18_BIT_MULTS 1 HAS_ACE_SUPPORT 1 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 1 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 0 HAS_DDB_FDI_SUPPORT 0 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 1 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 0 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HC_READY_SUPPORT 1 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 1 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 1 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 1 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 0 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TECHNOLOGY_MIGRATION_SUPPORT 1 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 1 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 1 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 1 INSTALLED 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 LVDS_IO 1 M10K_MEMORY 0 M144K_MEMORY 1 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 1 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 1 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1</parameter> + <parameter name="tightlyCoupledDataMaster0MapParam" value="" /> + <parameter name="tightlyCoupledDataMaster1MapParam" value="" /> + <parameter name="tightlyCoupledDataMaster2MapParam" value="" /> + <parameter name="tightlyCoupledDataMaster3MapParam" value="" /> + <parameter name="tightlyCoupledInstructionMaster0MapParam" value="" /> + <parameter name="tightlyCoupledInstructionMaster1MapParam" value="" /> + <parameter name="tightlyCoupledInstructionMaster2MapParam" value="" /> + <parameter name="tightlyCoupledInstructionMaster3MapParam" value="" /> + </module> + <module kind="avs2_eth_coe" version="1.0" enabled="1" name="avs_eth_0"> + <parameter name="AUTO_MM_CLOCK_RATE" value="25000000" /> + </module> + <module kind="clock_source" version="11.1" enabled="1" name="clk_input"> + <parameter name="clockFrequency" value="25000000" /> + <parameter name="clockFrequencyKnown" value="true" /> + <parameter name="inputClockFrequency" value="0" /> + <parameter name="resetSynchronousEdges" value="NONE" /> + </module> + <module kind="avs_common_mm" version="1.0" enabled="1" name="reg_unb_sens"> + <parameter name="g_adr_w" value="3" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module kind="avs_common_mm" version="1.0" enabled="1" name="reg_epcs"> + <parameter name="g_adr_w" value="3" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module kind="avs_common_mm" version="1.0" enabled="1" name="reg_remu"> + <parameter name="g_adr_w" value="3" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module kind="avs_common_mm" version="1.0" enabled="1" name="reg_ppsh"> + <parameter name="g_adr_w" value="1" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module kind="avs_common_mm" version="1.0" enabled="1" name="pio_system_info"> + <parameter name="g_adr_w" value="5" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module + kind="avs_common_mm" + version="1.0" + enabled="1" + name="rom_unb_system_info"> + <parameter name="g_adr_w" value="10" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module kind="avs_common_mm" version="1.0" enabled="1" name="reg_wdi"> + <parameter name="g_adr_w" value="1" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module + kind="avs_common_mm" + version="1.0" + enabled="1" + name="reg_dp_offload_tx_hdr_dat"> + <parameter name="g_adr_w" value="6" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module + kind="avs_common_mm_readlatency0" + version="1.0" + enabled="1" + name="reg_tr_10GbE"> + <parameter name="g_adr_w" value="15" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module + kind="avs_common_mm_readlatency0" + version="1.0" + enabled="1" + name="reg_tr_xaui"> + <parameter name="g_adr_w" value="11" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module kind="avs_common_mm" version="1.0" enabled="1" name="reg_mdio_0"> + <parameter name="g_adr_w" value="3" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module kind="avs_common_mm" version="1.0" enabled="1" name="reg_mdio_1"> + <parameter name="g_adr_w" value="3" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module kind="avs_common_mm" version="1.0" enabled="1" name="reg_mdio_2"> + <parameter name="g_adr_w" value="3" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module + kind="avs_common_mm" + version="1.0" + enabled="1" + name="reg_dp_offload_rx_hdr_dat"> + <parameter name="g_adr_w" value="7" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module + kind="avs_common_mm" + version="1.0" + enabled="1" + name="ram_bf_unit_x_ss_ss_wide"> + <parameter name="g_adr_w" value="4" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module + kind="avs_common_mm" + version="1.0" + enabled="1" + name="ram_bf_unit_x_bf_weights"> + <parameter name="g_adr_w" value="5" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module + kind="avs_common_mm" + version="1.0" + enabled="1" + name="ram_bf_unit_x_st_sst"> + <parameter name="g_adr_w" value="3" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module + kind="avs_common_mm" + version="1.0" + enabled="1" + name="reg_bf_unit_x_st_sst"> + <parameter name="g_adr_w" value="6" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module + kind="avs_common_mm" + version="1.0" + enabled="1" + name="reg_dp_xonoff_output"> + <parameter name="g_adr_w" value="1" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module + kind="avs_common_mm" + version="1.0" + enabled="1" + name="reg_dp_bsn_align_input"> + <parameter name="g_adr_w" value="2" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <module + kind="avs_common_mm" + version="1.0" + enabled="1" + name="reg_dp_bsn_align_mesh"> + <parameter name="g_adr_w" value="3" /> + <parameter name="g_dat_w" value="32" /> + <parameter name="AUTO_SYSTEM_CLOCK_RATE" value="25000000" /> + </module> + <connection + kind="avalon" + version="11.1" + start="cpu_0.instruction_master" + end="cpu_0.jtag_debug_module"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x6000" /> + </connection> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="cpu_0.jtag_debug_module"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x6000" /> + </connection> + <connection + kind="avalon" + version="11.1" + start="cpu_0.instruction_master" + end="onchip_memory2_0.s1"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x00020000" /> + </connection> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="onchip_memory2_0.s1"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x00020000" /> + </connection> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="jtag_uart_0.avalon_jtag_slave"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x06c0" /> + </connection> + <connection + kind="interrupt" + version="11.1" + start="cpu_0.d_irq" + end="jtag_uart_0.irq"> + <parameter name="irqNumber" value="0" /> + </connection> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="pio_wdi.s1"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x06a0" /> + </connection> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="timer_0.s1"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x0580" /> + </connection> + <connection kind="interrupt" version="11.1" start="cpu_0.d_irq" end="timer_0.irq"> + <parameter name="irqNumber" value="1" /> + </connection> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="onchip_memory2_0.reset1" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="jtag_uart_0.reset" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="pio_wdi.reset" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="timer_0.reset" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="cpu_0.reset_n" /> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="avs_eth_0.mms_tse"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x4000" /> + </connection> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="avs_eth_0.mms_reg"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x0500" /> + </connection> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="avs_eth_0.mms_ram"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x5000" /> + </connection> + <connection + kind="interrupt" + version="11.1" + start="cpu_0.d_irq" + end="avs_eth_0.interrupt"> + <parameter name="irqNumber" value="2" /> + </connection> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="avs_eth_0.mm_reset" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="timer_0.reset" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="pio_wdi.reset" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="jtag_uart_0.reset" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="onchip_memory2_0.reset1" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="cpu_0.reset_n" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="avs_eth_0.mm_reset" /> + <connection kind="clock" version="11.1" start="clk_input.clk" end="timer_0.clk" /> + <connection kind="clock" version="11.1" start="clk_input.clk" end="pio_wdi.clk" /> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="jtag_uart_0.clk" /> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="onchip_memory2_0.clk1" /> + <connection kind="clock" version="11.1" start="clk_input.clk" end="cpu_0.clk" /> + <connection kind="clock" version="11.1" start="clk_input.clk" end="avs_eth_0.mm" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="reg_unb_sens.system_reset" /> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="reg_unb_sens.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x05a0" /> + </connection> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="reg_unb_sens.system_reset" /> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="reg_unb_sens.system" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="reg_epcs.system_reset" /> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="reg_epcs.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x05c0" /> + </connection> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="reg_epcs.system_reset" /> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="reg_epcs.system" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="reg_remu.system_reset" /> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="reg_remu.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x05e0" /> + </connection> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="reg_remu.system_reset" /> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="reg_remu.system" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="reg_ppsh.system_reset" /> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="reg_ppsh.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x06c8" /> + </connection> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="reg_ppsh.system_reset" /> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="reg_ppsh.system" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="pio_system_info.system_reset" /> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="pio_system_info.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x0000" /> + </connection> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="pio_system_info.system_reset" /> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="pio_system_info.system" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="rom_unb_system_info.system_reset" /> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="rom_unb_system_info.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x1000" /> + </connection> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="rom_unb_system_info.system_reset" /> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="rom_unb_system_info.system" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="reg_wdi.system_reset" /> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="reg_wdi.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x06d0" /> + </connection> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="reg_wdi.system_reset" /> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="reg_wdi.system" /> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="reg_dp_offload_tx_hdr_dat.system" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="reg_dp_offload_tx_hdr_dat.system_reset" /> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="reg_dp_offload_tx_hdr_dat.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x0100" /> + </connection> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="reg_mdio_0.system" /> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="reg_mdio_1.system" /> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="reg_mdio_2.system" /> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="reg_dp_offload_rx_hdr_dat.system" /> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="reg_tr_xaui.system" /> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="reg_tr_10GbE.system" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="reg_mdio_2.system_reset" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="reg_mdio_1.system_reset" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="reg_mdio_0.system_reset" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="reg_tr_xaui.system_reset" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="reg_tr_10GbE.system_reset" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="reg_dp_offload_rx_hdr_dat.system_reset" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="reg_dp_offload_tx_hdr_dat.system_reset" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="reg_tr_10GbE.system_reset" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="reg_tr_xaui.system_reset" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="reg_mdio_0.system_reset" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="reg_mdio_1.system_reset" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="reg_mdio_2.system_reset" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="reg_dp_offload_rx_hdr_dat.system_reset" /> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="reg_dp_offload_rx_hdr_dat.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x0200" /> + </connection> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="reg_mdio_2.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x0600" /> + </connection> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="reg_mdio_1.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x0620" /> + </connection> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="reg_mdio_0.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x0640" /> + </connection> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="reg_tr_xaui.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x2000" /> + </connection> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="reg_tr_10GbE.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x00040000" /> + </connection> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="ram_bf_unit_x_ss_ss_wide.system" /> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="ram_bf_unit_x_bf_weights.system" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="ram_bf_unit_x_bf_weights.system_reset" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="ram_bf_unit_x_bf_weights.system_reset" /> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="ram_bf_unit_x_bf_weights.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x0080" /> + </connection> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="ram_bf_unit_x_st_sst.system" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="ram_bf_unit_x_st_sst.system_reset" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="ram_bf_unit_x_st_sst.system_reset" /> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="reg_bf_unit_x_st_sst.system" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="reg_bf_unit_x_st_sst.system_reset" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="reg_bf_unit_x_st_sst.system_reset" /> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="reg_bf_unit_x_st_sst.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x0400" /> + </connection> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="ram_bf_unit_x_ss_ss_wide.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x0540" /> + </connection> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="ram_bf_unit_x_ss_ss_wide.system_reset" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="ram_bf_unit_x_ss_ss_wide.system_reset" /> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="ram_bf_unit_x_st_sst.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x0660" /> + </connection> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="reg_dp_xonoff_output.system_reset" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="reg_dp_xonoff_output.system_reset" /> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="reg_dp_xonoff_output.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x06d8" /> + </connection> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="reg_dp_xonoff_output.system" /> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="reg_dp_bsn_align_input.system" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="reg_dp_bsn_align_input.system_reset" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="reg_dp_bsn_align_input.system_reset" /> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="reg_dp_bsn_align_input.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x06b0" /> + </connection> + <connection + kind="clock" + version="11.1" + start="clk_input.clk" + end="reg_dp_bsn_align_mesh.system" /> + <connection + kind="reset" + version="11.1" + start="clk_input.clk_reset" + end="reg_dp_bsn_align_mesh.system_reset" /> + <connection + kind="reset" + version="11.1" + start="cpu_0.jtag_debug_module_reset" + end="reg_dp_bsn_align_mesh.system_reset" /> + <connection + kind="avalon" + version="11.1" + start="cpu_0.data_master" + end="reg_dp_bsn_align_mesh.mem"> + <parameter name="arbitrationPriority" value="1" /> + <parameter name="baseAddress" value="0x0680" /> + </connection> +</system> diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_0.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_0.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_1.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_1.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_10.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_10.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_11.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_11.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_2.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_2.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_3.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_3.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_4.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_4.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_5.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_5.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_6.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_6.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_7.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_7.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_8.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_8.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_9.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_0_9.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_0.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_0.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_1.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_1.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_10.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_10.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_11.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_11.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_2.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_2.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_3.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_3.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_4.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_4.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_5.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_5.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_6.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_6.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_7.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_7.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_8.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_8.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_9.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_10_9.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_0.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_0.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_1.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_1.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_10.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_10.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_11.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_11.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_2.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_2.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_3.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_3.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_4.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_4.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_5.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_5.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_6.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_6.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_7.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_7.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_8.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_8.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_9.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_11_9.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_0.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_0.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_1.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_1.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_10.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_10.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_11.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_11.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_2.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_2.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_3.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_3.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_4.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_4.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_5.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_5.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_6.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_6.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_7.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_7.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_8.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_8.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_9.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_1_9.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_0.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_0.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_1.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_1.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_10.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_10.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_11.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_11.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_2.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_2.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_3.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_3.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_4.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_4.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_5.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_5.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_6.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_6.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_7.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_7.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_8.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_8.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_9.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_2_9.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_0.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_0.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_1.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_1.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_10.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_10.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_11.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_11.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_2.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_2.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_3.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_3.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_4.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_4.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_5.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_5.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_6.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_6.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_7.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_7.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_8.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_8.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_9.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_3_9.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_0.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_0.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_1.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_1.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_10.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_10.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_11.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_11.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_2.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_2.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_3.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_3.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_4.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_4.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_5.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_5.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_6.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_6.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_7.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_7.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_8.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_8.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_9.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_4_9.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_0.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_0.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_1.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_1.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_10.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_10.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_11.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_11.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_2.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_2.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_3.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_3.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_4.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_4.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_5.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_5.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_6.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_6.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_7.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_7.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_8.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_8.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_9.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_5_9.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_0.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_0.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_1.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_1.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_10.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_10.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_11.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_11.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_2.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_2.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_3.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_3.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_4.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_4.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_5.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_5.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_6.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_6.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_7.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_7.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_8.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_8.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_9.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_6_9.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_0.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_0.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_1.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_1.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_10.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_10.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_11.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_11.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_2.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_2.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_3.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_3.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_4.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_4.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_5.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_5.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_6.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_6.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_7.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_7.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_8.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_8.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_9.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_7_9.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_0.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_0.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_1.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_1.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_10.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_10.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_11.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_11.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_2.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_2.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_3.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_3.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_4.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_4.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_5.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_5.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_6.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_6.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_7.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_7.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_8.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_8.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_9.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_8_9.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_0.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_0.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_1.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_1.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_10.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_10.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_11.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_11.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_2.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_2.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_3.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_3.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_4.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_4.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_5.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_5.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_6.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_6.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_7.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_7.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_8.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_8.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_9.hex new file mode 100644 index 0000000000..68e01e2cc6 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_ss_wide_9_9.hex @@ -0,0 +1,177 @@ +:0100000000FF +:0100010001FD +:0100020002FB +:0100030003F9 +:0100040004F7 +:0100050005F5 +:0100060006F3 +:0100070007F1 +:0100080008EF +:0100090009ED +:01000A000AEB +:01000B000BE9 +:01000C000CE7 +:01000D000DE5 +:01000E000EE3 +:01000F000FE1 +:0100100010DF +:0100110011DD +:0100120012DB +:0100130013D9 +:0100140014D7 +:0100150015D5 +:0100160016D3 +:0100170017D1 +:0100180018CF +:0100190019CD +:01001A001ACB +:01001B001BC9 +:01001C001CC7 +:01001D001DC5 +:01001E001EC3 +:01001F001FC1 +:0100200020BF +:0100210021BD +:0100220022BB +:0100230023B9 +:0100240024B7 +:0100250025B5 +:0100260026B3 +:0100270027B1 +:0100280028AF +:0100290029AD +:01002A002AAB +:01002B002BA9 +:01002C002CA7 +:01002D002DA5 +:01002E002EA3 +:01002F002FA1 +:01003000309F +:01003100319D +:01003200329B +:010033003399 +:010034003497 +:010035003595 +:010036003693 +:010037003791 +:01003800388F +:01003900398D +:01003A003A8B +:01003B003B89 +:01003C003C87 +:01003D003D85 +:01003E003E83 +:01003F003F81 +:01004000407F +:01004100417D +:01004200427B +:010043004379 +:010044004477 +:010045004575 +:010046004673 +:010047004771 +:01004800486F +:01004900496D +:01004A004A6B +:01004B004B69 +:01004C004C67 +:01004D004D65 +:01004E004E63 +:01004F004F61 +:01005000505F +:01005100515D +:01005200525B +:010053005359 +:010054005457 +:010055005555 +:010056005653 +:010057005751 +:01005800584F +:01005900594D +:01005A005A4B +:01005B005B49 +:01005C005C47 +:01005D005D45 +:01005E005E43 +:01005F005F41 +:01006000603F +:01006100613D +:01006200623B +:010063006339 +:010064006437 +:010065006535 +:010066006633 +:010067006731 +:01006800682F +:01006900692D +:01006A006A2B +:01006B006B29 +:01006C006C27 +:01006D006D25 +:01006E006E23 +:01006F006F21 +:01007000701F +:01007100711D +:01007200721B +:010073007319 +:010074007417 +:010075007515 +:010076007613 +:010077007711 +:01007800780F +:01007900790D +:01007A007A0B +:01007B007B09 +:01007C007C07 +:01007D007D05 +:01007E007E03 +:01007F007F01 +:0100800080FF +:0100810081FD +:0100820082FB +:0100830083F9 +:0100840084F7 +:0100850085F5 +:0100860086F3 +:0100870087F1 +:0100880088EF +:0100890089ED +:01008A008AEB +:01008B008BE9 +:01008C008CE7 +:01008D008DE5 +:01008E008EE3 +:01008F008FE1 +:0100900090DF +:0100910091DD +:0100920092DB +:0100930093D9 +:0100940094D7 +:0100950095D5 +:0100960096D3 +:0100970097D1 +:0100980098CF +:0100990099CD +:01009A009ACB +:01009B009BC9 +:01009C009CC7 +:01009D009DC5 +:01009E009EC3 +:01009F009FC1 +:0100A000A0BF +:0100A100A1BD +:0100A200A2BB +:0100A300A3B9 +:0100A400A4B7 +:0100A500A5B5 +:0100A600A6B3 +:0100A700A7B1 +:0100A800A8AF +:0100A900A9AD +:0100AA00AAAB +:0100AB00ABA9 +:0100AC00ACA7 +:0100AD00ADA5 +:0100AE00AEA3 +:0100AF00AFA1 +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_0.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_0.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_1.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_1.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_10.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_10.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_11.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_11.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_2.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_2.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_3.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_3.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_4.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_4.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_5.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_5.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_6.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_6.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_7.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_7.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_8.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_8.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_9.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_0_9.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_0.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_0.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_1.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_1.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_10.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_10.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_11.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_11.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_2.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_2.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_3.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_3.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_4.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_4.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_5.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_5.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_6.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_6.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_7.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_7.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_8.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_8.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_9.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_10_9.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_0.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_0.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_1.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_1.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_10.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_10.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_11.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_11.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_2.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_2.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_3.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_3.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_4.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_4.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_5.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_5.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_6.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_6.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_7.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_7.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_8.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_8.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_9.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_11_9.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_0.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_0.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_1.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_1.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_10.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_10.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_11.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_11.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_2.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_2.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_3.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_3.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_4.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_4.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_5.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_5.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_6.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_6.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_7.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_7.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_8.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_8.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_9.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_1_9.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_0.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_0.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_1.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_1.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_10.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_10.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_11.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_11.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_2.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_2.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_3.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_3.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_4.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_4.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_5.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_5.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_6.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_6.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_7.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_7.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_8.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_8.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_9.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_2_9.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_0.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_0.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_1.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_1.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_10.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_10.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_11.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_11.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_2.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_2.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_3.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_3.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_4.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_4.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_5.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_5.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_6.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_6.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_7.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_7.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_8.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_8.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_9.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_3_9.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_0.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_0.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_1.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_1.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_10.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_10.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_11.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_11.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_2.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_2.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_3.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_3.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_4.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_4.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_5.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_5.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_6.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_6.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_7.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_7.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_8.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_8.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_9.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_4_9.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_0.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_0.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_1.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_1.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_10.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_10.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_11.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_11.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_2.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_2.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_3.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_3.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_4.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_4.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_5.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_5.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_6.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_6.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_7.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_7.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_8.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_8.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_9.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_5_9.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_0.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_0.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_1.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_1.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_10.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_10.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_11.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_11.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_2.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_2.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_3.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_3.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_4.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_4.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_5.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_5.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_6.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_6.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_7.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_7.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_8.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_8.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_9.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_6_9.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_0.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_0.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_1.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_1.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_10.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_10.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_11.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_11.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_2.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_2.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_3.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_3.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_4.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_4.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_5.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_5.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_6.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_6.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_7.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_7.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_8.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_8.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_9.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_7_9.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_0.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_0.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_1.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_1.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_10.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_10.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_11.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_11.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_2.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_2.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_3.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_3.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_4.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_4.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_5.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_5.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_6.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_6.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_7.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_7.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_8.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_8.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_9.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_8_9.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_0.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_0.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_0.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_1.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_1.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_1.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_10.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_10.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_10.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_11.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_11.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_11.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_2.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_2.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_2.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_3.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_3.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_3.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_4.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_4.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_4.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_5.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_5.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_5.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_6.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_6.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_6.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_7.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_7.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_7.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_8.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_8.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_8.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_9.hex b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_9.hex new file mode 100644 index 0000000000..5015eaa37f --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/hex/bf_weights_9_9.hex @@ -0,0 +1,177 @@ +:0400000000000001FB +:0400010000000001FA +:0400020000000001F9 +:0400030000000001F8 +:0400040000000001F7 +:0400050000000001F6 +:0400060000000001F5 +:0400070000000001F4 +:0400080000000001F3 +:0400090000000001F2 +:04000A0000000001F1 +:04000B0000000001F0 +:04000C0000000001EF +:04000D0000000001EE +:04000E0000000001ED +:04000F0000000001EC +:0400100000000001EB +:0400110000000001EA +:0400120000000001E9 +:0400130000000001E8 +:0400140000000001E7 +:0400150000000001E6 +:0400160000000001E5 +:0400170000000001E4 +:0400180000000001E3 +:0400190000000001E2 +:04001A0000000001E1 +:04001B0000000001E0 +:04001C0000000001DF +:04001D0000000001DE +:04001E0000000001DD +:04001F0000000001DC +:0400200000000001DB +:0400210000000001DA +:0400220000000001D9 +:0400230000000001D8 +:0400240000000001D7 +:0400250000000001D6 +:0400260000000001D5 +:0400270000000001D4 +:0400280000000001D3 +:0400290000000001D2 +:04002A0000000001D1 +:04002B0000000001D0 +:04002C0000000001CF +:04002D0000000001CE +:04002E0000000001CD +:04002F0000000001CC +:0400300000000001CB +:0400310000000001CA +:0400320000000001C9 +:0400330000000001C8 +:0400340000000001C7 +:0400350000000001C6 +:0400360000000001C5 +:0400370000000001C4 +:0400380000000001C3 +:0400390000000001C2 +:04003A0000000001C1 +:04003B0000000001C0 +:04003C0000000001BF +:04003D0000000001BE +:04003E0000000001BD +:04003F0000000001BC +:0400400000000001BB +:0400410000000001BA +:0400420000000001B9 +:0400430000000001B8 +:0400440000000001B7 +:0400450000000001B6 +:0400460000000001B5 +:0400470000000001B4 +:0400480000000001B3 +:0400490000000001B2 +:04004A0000000001B1 +:04004B0000000001B0 +:04004C0000000001AF +:04004D0000000001AE +:04004E0000000001AD +:04004F0000000001AC +:0400500000000001AB +:0400510000000001AA +:0400520000000001A9 +:0400530000000001A8 +:0400540000000001A7 +:0400550000000001A6 +:0400560000000001A5 +:0400570000000001A4 +:0400580000000001A3 +:0400590000000001A2 +:04005A0000000001A1 +:04005B0000000001A0 +:04005C00000000019F +:04005D00000000019E +:04005E00000000019D +:04005F00000000019C +:04006000000000019B +:04006100000000019A +:040062000000000199 +:040063000000000198 +:040064000000000197 +:040065000000000196 +:040066000000000195 +:040067000000000194 +:040068000000000193 +:040069000000000192 +:04006A000000000191 +:04006B000000000190 +:04006C00000000018F +:04006D00000000018E +:04006E00000000018D +:04006F00000000018C +:04007000000000018B +:04007100000000018A +:040072000000000189 +:040073000000000188 +:040074000000000187 +:040075000000000186 +:040076000000000185 +:040077000000000184 +:040078000000000183 +:040079000000000182 +:04007A000000000181 +:04007B000000000180 +:04007C00000000017F +:04007D00000000017E +:04007E00000000017D +:04007F00000000017C +:04008000000000017B +:04008100000000017A +:040082000000000179 +:040083000000000178 +:040084000000000177 +:040085000000000176 +:040086000000000175 +:040087000000000174 +:040088000000000173 +:040089000000000172 +:04008A000000000171 +:04008B000000000170 +:04008C00000000016F +:04008D00000000016E +:04008E00000000016D +:04008F00000000016C +:04009000000000016B +:04009100000000016A +:040092000000000169 +:040093000000000168 +:040094000000000167 +:040095000000000166 +:040096000000000165 +:040097000000000164 +:040098000000000163 +:040099000000000162 +:04009A000000000161 +:04009B000000000160 +:04009C00000000015F +:04009D00000000015E +:04009E00000000015D +:04009F00000000015C +:0400A000000000015B +:0400A100000000015A +:0400A2000000000159 +:0400A3000000000158 +:0400A4000000000157 +:0400A5000000000156 +:0400A6000000000155 +:0400A7000000000154 +:0400A8000000000153 +:0400A9000000000152 +:0400AA000000000151 +:0400AB000000000150 +:0400AC00000000014F +:0400AD00000000014E +:0400AE00000000014D +:0400AF00000000014C +:00000001FF diff --git a/applications/arts/designs/arts_unb1_sc4/src/python/gen_hex_files_bf_ss_wide.log b/applications/arts/designs/arts_unb1_sc4/src/python/gen_hex_files_bf_ss_wide.log new file mode 100644 index 0000000000..e69de29bb2 diff --git a/applications/arts/designs/arts_unb1_sc4/src/python/gen_hex_files_bf_ss_wide.py b/applications/arts/designs/arts_unb1_sc4/src/python/gen_hex_files_bf_ss_wide.py new file mode 100644 index 0000000000..44a88f005d --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/python/gen_hex_files_bf_ss_wide.py @@ -0,0 +1,54 @@ +############################################################################### +# +# Copyright (C) 2013 +# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see <http://www.gnu.org/licenses/>. +# +############################################################################### + +# Purpose: +# . Generate the HEX files for the ss_wide in the bf_unit. +# Description: + +NOF_TELESCOPES = 12 +NOF_TABS = 12 +NOF_POLARIZATIONS = 2 +NOF_BEAMLETS = 88 +NOF_BF_SUBBANDS = NOF_POLARIZATIONS*NOF_BEAMLETS + +HEX_SS_WIDE_MEM_WIDTH = 8 # 176 subband words to select = 8 bits. +HEX_SS_WIDE_MEM_DEPTH = NOF_BF_SUBBANDS # +HEX_SS_WIDE_FILE_PREFIX = "../hex/bf_ss_wide_" + +# This is not a TC but we must provide a TC to create the object +import test_case +dummy_tc = test_case.Testcase('','') +# We won't be using IO either. +import node_io +dummy_io = node_io.NodeIO(dummy_tc.nodeImages, dummy_tc.base_ip) + +ss_list = range(NOF_BF_SUBBANDS) + +# =========================== +# Generate hex files: ss_wide +# . 12 identical non-reordering selection lists (just forward) +# =========================== +from mem_init_file import list_to_hex +for i in range(NOF_TABS): + for j in range(NOF_TELESCOPES): + list_to_hex(ss_list, HEX_SS_WIDE_FILE_PREFIX+str(i)+"_"+str(j)+".hex", HEX_SS_WIDE_MEM_WIDTH, HEX_SS_WIDE_MEM_DEPTH) + + diff --git a/applications/arts/designs/arts_unb1_sc4/src/python/gen_hex_files_bf_weights.log b/applications/arts/designs/arts_unb1_sc4/src/python/gen_hex_files_bf_weights.log new file mode 100644 index 0000000000..e69de29bb2 diff --git a/applications/arts/designs/arts_unb1_sc4/src/python/gen_hex_files_bf_weights.py b/applications/arts/designs/arts_unb1_sc4/src/python/gen_hex_files_bf_weights.py new file mode 100644 index 0000000000..7e3de5e9f4 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/python/gen_hex_files_bf_weights.py @@ -0,0 +1,55 @@ +############################################################################### +# +# Copyright (C) 2013 +# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see <http://www.gnu.org/licenses/>. +# +############################################################################### + +# Purpose: +# . Generate the HEX files for the weights in the bf_units. +# Description: + +NOF_TELESCOPES = 12 +NOF_TABS = 12 +NOF_POLARIZATIONS = 2 +NOF_BEAMLETS = 88 +NOF_BF_SUBBANDS = NOF_POLARIZATIONS*NOF_BEAMLETS +HEX_WEIGHTS_MEM_WIDTH = 32 # 16b complex +HEX_WEIGHTS_MEM_DEPTH = NOF_BF_SUBBANDS # +HEX_WEIGHTS_FILE_PREFIX = "../hex/bf_weights_" + +# This is not a TC but we must provide a TC to create the object +import test_case +dummy_tc = test_case.Testcase('','') +# We won't be using IO either. +import node_io +dummy_io = node_io.NodeIO(dummy_tc.nodeImages, dummy_tc.base_ip) + +weights_list_complex = NOF_BF_SUBBANDS*[complex(1,0)] + +from common import * +weights_list_uint = concat_complex(weights_list_complex, 16) + +# =========================== +# Generate hex files +# =========================== +from mem_init_file import list_to_hex +for i in range(NOF_TABS): + for j in range(NOF_TELESCOPES): + list_to_hex(weights_list_uint, HEX_WEIGHTS_FILE_PREFIX+str(i)+"_"+str(j)+".hex", HEX_WEIGHTS_MEM_WIDTH, HEX_WEIGHTS_MEM_DEPTH) + + diff --git a/applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4.vhd b/applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4.vhd new file mode 100644 index 0000000000..de5916d9c0 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4.vhd @@ -0,0 +1,406 @@ +------------------------------------------------------------------------------- +-- +-- Copyright (C) 2016 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- JIVE (Joint Institute for VLBI in Europe) <http://www.jive.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program. If not, see <http://www.gnu.org/licenses/>. +-- +------------------------------------------------------------------------------- + +-- Purpose: +-- . Create array beams of 24 inputs, calculate Stokes params, transmit via 10GbE. +-- Description: + +LIBRARY IEEE; + USE IEEE.STD_LOGIC_1164.ALL; + USE IEEE.NUMERIC_STD.ALL; +LIBRARY common_lib; + USE common_lib.common_pkg.ALL; + USE common_lib.common_str_pkg.ALL; + USE common_lib.common_mem_pkg.ALL; + USE common_lib.common_field_pkg.ALL; + USE common_lib.common_network_layers_pkg.ALL; + USE common_lib.common_interface_layers_pkg.ALL; + USE common_lib.common_network_total_header_pkg.ALL; +LIBRARY dp_lib; + USE dp_lib.dp_stream_pkg.ALL; +LIBRARY bf_lib; + USE bf_lib.bf_pkg.ALL; +LIBRARY unb1_board_lib; + USE unb1_board_lib.unb1_board_pkg.ALL; +LIBRARY tr_10GbE_lib; +LIBRARY apertif_lib; + USE apertif_lib.apertif_udp_offload_pkg.ALL; + +ENTITY arts_unb1_sc4 IS + GENERIC ( + g_sim : BOOLEAN := FALSE; -- Overridden by simulation test bench + g_stamp_date : NATURAL := 0; -- Date (YYYYMMDD) -- set by QSF + g_stamp_time : NATURAL := 0; -- Time (HHMMSS) -- set by QSF + g_stamp_svn : NATURAL := 0 -- SVN revision -- set by QSF + ); + PORT ( + -- ctrl_unb1_board + CLK : IN STD_LOGIC; + + PPS : IN STD_LOGIC; + WDI : OUT STD_LOGIC; + INTA : INOUT STD_LOGIC; + INTB : INOUT STD_LOGIC; + + VERSION : IN STD_LOGIC_VECTOR(c_unb1_board_aux.version_w-1 DOWNTO 0); + ID : IN STD_LOGIC_VECTOR(c_unb1_board_aux.id_w-1 DOWNTO 0); + TESTIO : INOUT STD_LOGIC_VECTOR(c_unb1_board_aux.testio_w-1 DOWNTO 0); + + SENS_SC : INOUT STD_LOGIC; + SENS_SD : INOUT STD_LOGIC; + + ETH_CLK : IN STD_LOGIC; + ETH_SGIN : IN STD_LOGIC; + ETH_SGOUT : OUT STD_LOGIC; + + -- Mesh Serial I/O + SB_CLK : IN STD_LOGIC; -- SerDes clock FN-BN (tr_mesh) + FN_BN_0_TX : OUT STD_LOGIC_VECTOR(c_unb1_board_tr_mesh.bus_w-1 DOWNTO 0); + FN_BN_0_RX : IN STD_LOGIC_VECTOR(c_unb1_board_tr_mesh.bus_w-1 DOWNTO 0); + FN_BN_1_TX : OUT STD_LOGIC_VECTOR(c_unb1_board_tr_mesh.bus_w-1 DOWNTO 0); + FN_BN_1_RX : IN STD_LOGIC_VECTOR(c_unb1_board_tr_mesh.bus_w-1 DOWNTO 0); + FN_BN_2_TX : OUT STD_LOGIC_VECTOR(c_unb1_board_tr_mesh.bus_w-1 DOWNTO 0); + FN_BN_2_RX : IN STD_LOGIC_VECTOR(c_unb1_board_tr_mesh.bus_w-1 DOWNTO 0); + FN_BN_3_TX : OUT STD_LOGIC_VECTOR(c_unb1_board_tr_mesh.bus_w-1 DOWNTO 0); + FN_BN_3_RX : IN STD_LOGIC_VECTOR(c_unb1_board_tr_mesh.bus_w-1 DOWNTO 0); + + -- Serial I/O: 10GbE receivers + SA_CLK : IN STD_LOGIC; -- SerDes Clock BN-BI / SI_FN + SI_FN_0_TX : OUT STD_LOGIC_VECTOR(c_unb1_board_ci.tr.bus_w-1 DOWNTO 0); + SI_FN_0_RX : IN STD_LOGIC_VECTOR(c_unb1_board_ci.tr.bus_w-1 DOWNTO 0); + SI_FN_1_TX : OUT STD_LOGIC_VECTOR(c_unb1_board_ci.tr.bus_w-1 DOWNTO 0); + SI_FN_1_RX : IN STD_LOGIC_VECTOR(c_unb1_board_ci.tr.bus_w-1 DOWNTO 0); + SI_FN_2_TX : OUT STD_LOGIC_VECTOR(c_unb1_board_ci.tr.bus_w-1 DOWNTO 0); + SI_FN_2_RX : IN STD_LOGIC_VECTOR(c_unb1_board_ci.tr.bus_w-1 DOWNTO 0); + SI_FN_0_CNTRL : INOUT STD_LOGIC_VECTOR(c_unb1_board_ci.tr.cntrl_w-1 DOWNTO 0); + SI_FN_1_CNTRL : INOUT STD_LOGIC_VECTOR(c_unb1_board_ci.tr.cntrl_w-1 DOWNTO 0); + SI_FN_2_CNTRL : INOUT STD_LOGIC_VECTOR(c_unb1_board_ci.tr.cntrl_w-1 DOWNTO 0); + SI_FN_3_CNTRL : INOUT STD_LOGIC_VECTOR(c_unb1_board_ci.tr.cntrl_w-1 DOWNTO 0); + SI_FN_RSTN : OUT STD_LOGIC := '1' + ); +END arts_unb1_sc4; + +ARCHITECTURE str OF arts_unb1_sc4 IS + + ------------------------------------------------------------------------------- + -- ARTS SC4 + ------------------------------------------------------------------------------- +-- CONSTANT c_nof_polarizations : NATURAL := 2; + CONSTANT c_nof_telescopes : NATURAL := 12; + CONSTANT c_nof_tabs : NATURAL := 1; --FIXME First 1, then upgrade to 12 TABs + CONSTANT c_nof_abs : NATURAL := c_nof_tabs+1; -- + 1 IAB +-- CONSTANT c_channel_compl_dat_w : NATURAL := 8; +-- CONSTANT c_channel_dat_w : NATURAL := 2*c_channel_compl_dat_w; +-- CONSTANT c_nof_beamlets : NATURAL := 88; --88 beamlets per node, 704 in total +-- CONSTANT c_nof_subbands : NATURAL := 24; --only 24/704 beamlets used; called subbands here +-- CONSTANT c_nof_timesamples_per_packet : NATURAL := 50; +-- CONSTANT c_nof_apertif_bf_units : NATURAL := 4; -- 4 bf_units per Apertif FN + + + + ------------------------------------------------------------------------------- + -- Beam Former + ------------------------------------------------------------------------------- + SIGNAL arts_unb1_sc4_processing_snk_in_arr : t_dp_sosi_arr(c_nof_telescopes-1 DOWNTO 0); + SIGNAL arts_unb1_sc4_processing_src_out_arr : t_dp_sosi_arr(c_nof_tabs-1 DOWNTO 0); + + ------------------------------------------------------------------------------ + -- ctrl_unb1_board + ------------------------------------------------------------------------------- + CONSTANT c_ctrl_unb1_board_design_name : STRING := "arts_unb1_sc4"; + CONSTANT c_ctrl_unb1_board_design_note : STRING := "ARTS SC1 12-dish, dual pol"; + + SIGNAL mm_clk : STD_LOGIC; + SIGNAL mm_rst : STD_LOGIC; + SIGNAL mm_locked : STD_LOGIC; + SIGNAL epcs_clk : STD_LOGIC; + SIGNAL cal_rec_clk : STD_LOGIC; + SIGNAL dp_clk : STD_LOGIC; + SIGNAL dp_rst : STD_LOGIC; + SIGNAL dp_pps : STD_LOGIC; + + SIGNAL sa_rst : STD_LOGIC; + + SIGNAL this_chip_id : STD_LOGIC_VECTOR(c_unb1_board_nof_chip_w-1 DOWNTO 0); + + SIGNAL pout_wdi : STD_LOGIC; + SIGNAL pio_system_info_mosi : t_mem_mosi; + SIGNAL pio_system_info_miso : t_mem_miso; + + SIGNAL reg_wdi_mosi : t_mem_mosi; + SIGNAL reg_wdi_miso : t_mem_miso; + + SIGNAL reg_ppsh_mosi : t_mem_mosi; + SIGNAL reg_ppsh_miso : t_mem_miso; + + SIGNAL rom_unb_system_info_mosi : t_mem_mosi; + SIGNAL rom_unb_system_info_miso : t_mem_miso; + + SIGNAL reg_unb_sens_mosi : t_mem_mosi; + SIGNAL reg_unb_sens_miso : t_mem_miso; + + SIGNAL eth1g_tse_clk : STD_LOGIC; + SIGNAL eth1g_mm_rst : STD_LOGIC; + SIGNAL eth1g_tse_mosi : t_mem_mosi; + SIGNAL eth1g_tse_miso : t_mem_miso; + SIGNAL eth1g_reg_mosi : t_mem_mosi; + SIGNAL eth1g_reg_miso : t_mem_miso; + SIGNAL eth1g_irq : STD_LOGIC; + SIGNAL eth1g_ram_mosi : t_mem_mosi; + SIGNAL eth1g_ram_miso : t_mem_miso; + + SIGNAL reg_epcs_mosi : t_mem_mosi; + SIGNAL reg_epcs_miso : t_mem_miso; + + SIGNAL reg_remu_mosi : t_mem_mosi; + SIGNAL reg_remu_miso : t_mem_miso; + + +BEGIN + + ----------------------------------------------------------------------------- + -- Input & reordering & stage + ----------------------------------------------------------------------------- + u_arts_unb1_sc4_input: ENTITY work.arts_unb1_sc4_input + GENERIC MAP ( + g_sim => g_sim + ) + PORT MAP ( + dp_clk => dp_clk, + dp_rst => dp_rst, + + mm_clk => mm_clk, + mm_rst => mm_rst, + + src_out_arr => arts_unb1_sc4_input_src_out_arr + ); + + ----------------------------------------------------------------------------- + -- Processing stage + ----------------------------------------------------------------------------- + u_arts_unb1_sc4_processing: ENTITY work.arts_unb1_sc4_processing + GENERIC MAP ( + g_nof_telescopes => 12, + g_nof_tabs => 1 + ) + PORT MAP ( + dp_clk => dp_clk, + dp_rst => dp_rst, + + mm_clk => mm_clk, + mm_rst => mm_rst, + + snk_in_arr => arts_unb1_sc4_processing_snk_in_arr, + + src_out_arr => arts_unb1_sc4_processing_src_out_arr + ); + + ----------------------------------------------------------------------------- + -- Output reordering & packetizing stage + ----------------------------------------------------------------------------- + u_arts_unb1_sc4_output: ENTITY work.arts_unb1_sc4_output + GENERIC MAP ( + g_sim => g_sim + ) + PORT MAP ( + dp_clk => dp_clk, + dp_rst => dp_rst, + + mm_clk => mm_clk, + mm_rst => mm_rst, + + snk_in_arr => arts_unb1_sc4_output_snk_in_arr + ); + + + + + ------------------------------------------------------------------------------- + -- ctrl_unb1_board + ------------------------------------------------------------------------------- + u_ctrl_unb1_board : ENTITY unb1_board_lib.ctrl_unb1_board + GENERIC MAP ( + g_sim => g_sim, + g_sim_level => 1, + g_design_name => c_ctrl_unb1_board_design_name, + g_stamp_date => g_stamp_date, + g_stamp_time => g_stamp_time, + g_stamp_svn => g_stamp_svn, + g_mm_clk_freq => c_unb1_board_mm_clk_freq_50M, + g_dp_clk_use_pll => TRUE, -- Use internal PLL to generate dp_clk + g_xo_clk_use_pll => TRUE, -- Use internal PLL to generate mm_clk + g_udp_offload => TRUE, -- Use offload + g_udp_offload_nof_streams => 1 + ) + PORT MAP ( + -- Clock and reset signals + mm_clk_out => mm_clk, + mm_clk => mm_clk, -- Feed mm_clk back in + mm_rst => mm_rst, + mm_locked_out => mm_locked, + mm_locked => mm_locked,-- Feed mm_locked back in + + epcs_clk_out => epcs_clk, + epcs_clk => epcs_clk, -- Feed epcs_clk back in + + dp_rst => dp_rst, + dp_clk => dp_clk, + dp_rst_in => dp_rst, -- Feed dp_clk back in + dp_clk_in => dp_clk, -- Feed dp_rst back in + dp_pps => dp_pps, + + cal_rec_clk => cal_rec_clk, + this_chip_id => this_chip_id, + + -- Toggle WDI + pout_wdi => pout_wdi, + + -- REMU + reg_remu_mosi => reg_remu_mosi, + reg_remu_miso => reg_remu_miso, + + -- EPCS status/control + reg_epcs_mosi => reg_epcs_mosi, + reg_epcs_miso => reg_epcs_miso, + + -- . Manual WDI override + reg_wdi_mosi => reg_wdi_mosi, + reg_wdi_miso => reg_wdi_miso, + + -- . System_info + reg_unb_system_info_mosi => pio_system_info_mosi, + reg_unb_system_info_miso => pio_system_info_miso, + rom_unb_system_info_mosi => rom_unb_system_info_mosi, + rom_unb_system_info_miso => rom_unb_system_info_miso, + + -- . UniBoard I2C sensors + reg_unb_sens_mosi => reg_unb_sens_mosi, + reg_unb_sens_miso => reg_unb_sens_miso, + + -- . PPSH + reg_ppsh_mosi => reg_ppsh_mosi, + reg_ppsh_miso => reg_ppsh_miso, + + -- eth1g + eth1g_tse_clk_out => eth1g_tse_clk, + eth1g_tse_clk => eth1g_tse_clk, + eth1g_mm_rst => eth1g_mm_rst, + eth1g_tse_mosi => eth1g_tse_mosi, + eth1g_tse_miso => eth1g_tse_miso, + eth1g_reg_mosi => eth1g_reg_mosi, + eth1g_reg_miso => eth1g_reg_miso, + eth1g_reg_interrupt => eth1g_irq, + eth1g_ram_mosi => eth1g_ram_mosi, + eth1g_ram_miso => eth1g_ram_miso, + + -- FPGA pins + -- . General + CLK => CLK, + PPS => PPS, + WDI => WDI, + INTA => INTA, + INTB => INTB, + -- . Others + VERSION => VERSION, + ID => ID, + TESTIO => TESTIO, + -- . I2C Interface to Sensors + sens_sc => sens_sc, + sens_sd => sens_sd, + -- . 1GbE Control Interface + ETH_CLK => ETH_CLK, + ETH_SGIN => ETH_SGIN, + ETH_SGOUT => ETH_SGOUT + ); + + ------------------------------------------------------------------------------- + -- mm_master + ------------------------------------------------------------------------------- +-- u_arts_unb1_sc4_mm_master : ENTITY work.arts_unb1_sc4_mm_master +-- GENERIC MAP ( +-- g_sim => g_sim +-- ) +-- PORT MAP( +-- mm_rst => mm_rst, +-- mm_clk => mm_clk, +-- eth1g_mm_rst => eth1g_mm_rst, +-- eth1g_irq => eth1g_irq, +-- pout_wdi => pout_wdi, +-- eth1g_tse_mosi => eth1g_tse_mosi, +-- eth1g_tse_miso => eth1g_tse_miso, +-- eth1g_reg_mosi => eth1g_reg_mosi, +-- eth1g_reg_miso => eth1g_reg_miso, +-- eth1g_ram_mosi => eth1g_ram_mosi, +-- eth1g_ram_miso => eth1g_ram_miso, +-- reg_unb_sens_mosi => reg_unb_sens_mosi, +-- reg_unb_sens_miso => reg_unb_sens_miso, +-- reg_epcs_mosi => reg_epcs_mosi, +-- reg_epcs_miso => reg_epcs_miso, +-- reg_remu_mosi => reg_remu_mosi, +-- reg_remu_miso => reg_remu_miso, +-- reg_ppsh_mosi => reg_ppsh_mosi, +-- reg_ppsh_miso => reg_ppsh_miso, +-- pio_system_info_mosi => pio_system_info_mosi, +-- pio_system_info_miso => pio_system_info_miso, +-- rom_unb_system_info_mosi => rom_unb_system_info_mosi, +-- rom_unb_system_info_miso => rom_unb_system_info_miso, +-- reg_wdi_mosi => reg_wdi_mosi, +-- reg_wdi_miso => reg_wdi_miso, +-- reg_mdio_0_mosi => reg_mdio_0_mosi, +-- reg_mdio_0_miso => reg_mdio_0_miso, +-- reg_mdio_1_mosi => reg_mdio_1_mosi, +-- reg_mdio_1_miso => reg_mdio_1_miso, +-- reg_mdio_2_mosi => reg_mdio_2_mosi, +-- reg_mdio_2_miso => reg_mdio_2_miso, +-- reg_dp_offload_rx_hdr_dat_mosi => reg_dp_offload_rx_hdr_dat_mosi, +-- reg_dp_offload_rx_hdr_dat_miso => reg_dp_offload_rx_hdr_dat_miso, +-- reg_dp_offload_tx_hdr_dat_mosi => reg_dp_offload_tx_hdr_dat_mosi, +-- reg_dp_offload_tx_hdr_dat_miso => reg_dp_offload_tx_hdr_dat_miso, +-- reg_tr_10gbe_mosi => reg_tr_10gbe_mosi, +-- reg_tr_10gbe_miso => reg_tr_10gbe_miso, +-- reg_tr_xaui_mosi => reg_tr_xaui_mosi, +-- reg_tr_xaui_miso => reg_tr_xaui_miso, +-- ram_bf_unit_ss_ss_wide_mosi_arr => ram_bf_unit_ss_ss_wide_mosi_arr, +-- ram_bf_unit_ss_ss_wide_miso_arr => ram_bf_unit_ss_ss_wide_miso_arr, +-- ram_bf_unit_bf_weights_mosi_arr => ram_bf_unit_bf_weights_mosi_arr, +-- ram_bf_unit_bf_weights_miso_arr => ram_bf_unit_bf_weights_miso_arr, +-- ram_bf_unit_st_sst_mosi_arr => ram_bf_unit_st_sst_mosi_arr, +-- ram_bf_unit_st_sst_miso_arr => ram_bf_unit_st_sst_miso_arr, +-- reg_bf_unit_st_sst_mosi_arr => reg_bf_unit_st_sst_mosi_arr, +-- reg_bf_unit_st_sst_miso_arr => reg_bf_unit_st_sst_miso_arr, +-- reg_dp_xonoff_output_mosi => reg_dp_xonoff_output_mosi, +-- reg_dp_xonoff_output_miso => reg_dp_xonoff_output_miso, +-- reg_dp_bsn_align_mesh_mosi => reg_dp_bsn_align_mesh_mosi, +-- reg_dp_bsn_align_mesh_miso => reg_dp_bsn_align_mesh_miso, +-- reg_dp_bsn_align_input_mosi => reg_dp_bsn_align_input_mosi, +-- reg_dp_bsn_align_input_miso => reg_dp_bsn_align_input_miso +-- ); + +-- reg_mdio_mosi_arr(0) <= reg_mdio_0_mosi; +-- reg_mdio_mosi_arr(1) <= reg_mdio_1_mosi; +-- reg_mdio_mosi_arr(2) <= reg_mdio_2_mosi; +-- +-- reg_mdio_0_miso <= reg_mdio_miso_arr(0); +-- reg_mdio_1_miso <= reg_mdio_miso_arr(1); +-- reg_mdio_2_miso <= reg_mdio_miso_arr(2); + +END str; diff --git a/applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4_input.vhd b/applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4_input.vhd new file mode 100644 index 0000000000..6a9d33aef7 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4_input.vhd @@ -0,0 +1,264 @@ +------------------------------------------------------------------------------- +-- +-- Copyright (C) 2015 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program. If not, see <http://www.gnu.org/licenses/>. +-- +------------------------------------------------------------------------------- + +-- Purpose: +-- . Wrapper containing dp_offload_tx and design-specific header fields +-- Description: +-- . + +LIBRARY IEEE, common_lib, work, technology_lib, mm_lib, unb1_board_lib, dp_lib, tech_tse_lib; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.NUMERIC_STD.ALL; +USE common_lib.common_pkg.ALL; +USE common_lib.common_mem_pkg.ALL; +USE dp_lib.dp_stream_pkg.ALL; +USE common_lib.common_field_pkg.ALL; +USE unb1_board_lib.unb1_board_pkg.ALL; +USE tech_tse_lib.tech_tse_pkg.ALL; +USE technology_lib.technology_select_pkg.ALL; + +ENTITY arts_unb1_sc4_output IS + GENERIC ( + g_technology : NATURAL := c_tech_select_default; + g_nof_streams : POSITIVE := 1; + g_nof_blocks : NATURAL := 50; + g_nof_channels : NATURAL := 24; + g_sim : BOOLEAN + ); + PORT ( + mm_rst : IN STD_LOGIC; + mm_clk : IN STD_LOGIC; + + dp_rst : IN STD_LOGIC; + dp_clk : IN STD_LOGIC; + + reg_dp_offload_tx_hdr_dat_mosi : IN t_mem_mosi := c_mem_mosi_rst; + reg_dp_offload_tx_hdr_dat_miso : OUT t_mem_miso := c_mem_miso_rst; + + reg_dp_xonoff_output_mosi : IN t_mem_mosi; + reg_dp_xonoff_output_miso : OUT t_mem_miso; + + snk_in : IN t_dp_sosi; + snk_out : OUT t_dp_siso; + + src_out_arr : OUT t_dp_sosi_arr(g_nof_streams-1 DOWNTO 0); + src_in_arr : IN t_dp_siso_arr(g_nof_streams-1 DOWNTO 0); + + ID : IN STD_LOGIC_VECTOR(c_unb1_board_aux.id_w-1 DOWNTO 0) + ); +END arts_unb1_sc4_output; + + +ARCHITECTURE wrap OF arts_unb1_sc4_output IS + + -- Word align + Eth + IP + UDP + ID + Flags + CONSTANT c_nof_hdr_fields : NATURAL := 1 + 3 + 12 + 4 + 6 + 8 ; -- 34 fields + -- Numer of bytes: 2 + <-----42-----> + 16 + 8*24/8 -- 2 + 42 + 40 = 84 bytes = 672 bits + + CONSTANT c_hdr_field_arr : t_common_field_arr(c_nof_hdr_fields-1 DOWNTO 0) := ( ( field_name_pad("word_align" ), " ", 16, field_default(0) ), + ( field_name_pad("eth_dst_mac" ), " ", 48, field_default(x"1418774428B8") ), + ( field_name_pad("eth_src_mac" ), " ", 48, field_default(0) ), + ( field_name_pad("eth_type" ), " ", 16, field_default(x"800") ), + ( field_name_pad("ip_version" ), " ", 4, field_default(4) ), + ( field_name_pad("ip_header_length" ), " ", 4, field_default(5) ), + ( field_name_pad("ip_services" ), " ", 8, field_default(0) ), + ( field_name_pad("ip_total_length" ), " ", 16, field_default(4868) ), + ( field_name_pad("ip_identification" ), " ", 16, field_default(0) ), + ( field_name_pad("ip_flags" ), " ", 3, field_default(2) ), + ( field_name_pad("ip_fragment_offset" ), " ", 13, field_default(0) ), + ( field_name_pad("ip_time_to_live" ), " ", 8, field_default(127) ), + ( field_name_pad("ip_protocol" ), " ", 8, field_default(17) ), + ( field_name_pad("ip_header_checksum" ), " ", 16, field_default(0) ), + ( field_name_pad("ip_src_addr" ), " ", 32, field_default(0) ), + ( field_name_pad("ip_dst_addr" ), " ", 32, field_default(x"0A63C82A") ), + ( field_name_pad("udp_src_port" ), " ", 16, field_default(4000) ), + ( field_name_pad("udp_dst_port" ), " ", 16, field_default(4000) ), + ( field_name_pad("udp_total_length" ), " ", 16, field_default(4848) ), + ( field_name_pad("udp_checksum" ), " ", 16, field_default(0) ), + ( field_name_pad("id_marker_byte" ), " ", 8, field_default(65) ), + ( field_name_pad("id_format_version" ), " ", 8, field_default(1) ), + ( field_name_pad("id_source_id" ), " ", 16, field_default(0) ), + ( field_name_pad("id_n_channels" ), " ", 16, field_default(0) ), + ( field_name_pad("id_n_blocks" ), " ", 16, field_default(0) ), + ( field_name_pad("id_timestamp" ), " ", 64, field_default(0) ), + ( field_name_pad("flags_crc_error" ), " ", 24, field_default(0) ), + ( field_name_pad("flags_no_input_present" ), " ", 24, field_default(0) ), + ( field_name_pad("flags_uploading_weights" ), " ", 24, field_default(0) ), + ( field_name_pad("flags_noise_source_enabled" ), " ", 24, field_default(0) ), + ( field_name_pad("flags_telescope_pointing_off" ), " ", 24, field_default(0) ), + ( field_name_pad("flags_antenna_broken" ), " ", 24, field_default(0) ), + ( field_name_pad("flags_reserved_0" ), " ", 24, field_default(0) ), + ( field_name_pad("flags_reserved_1" ), " ", 24, field_default(0) ) ); + + CONSTANT c_hdr_field_sel : STD_LOGIC_VECTOR(c_nof_hdr_fields-1 DOWNTO 0) := "1101" & -- Eth header fields: eth_src_mac from data path (others use MM default value). + "111111111101" & -- IP header fields: ip_src_addr from data path (others use MM default value). + "1111" & -- UDP header fields + "110000" & -- ID header fields: MM controlled: marker byte, format version. From data path: source ID, n_channels, n_blocks and timestamp. + "00111111"; -- Flag fields: crc_error and no_input_present from data path, others via MM. + + CONSTANT c_nof_hdr_words : NATURAL := field_slv_len(c_hdr_field_arr)/c_tech_tse_data_w; + CONSTANT c_bsn_w : NATURAL := 64; + CONSTANT c_nof_words_per_block : NATURAL := 24; + CONSTANT c_nof_blocks_per_packet : NATURAL := 50; + CONSTANT c_fifo_size : NATURAL := 4096; + + SIGNAL mms_dp_xonoff_snk_in_arr : t_dp_sosi_arr(g_nof_streams-1 DOWNTO 0); + SIGNAL mms_dp_xonoff_snk_out_arr : t_dp_siso_arr(g_nof_streams-1 DOWNTO 0); + + SIGNAL dp_fifo_sc_snk_in_arr : t_dp_sosi_arr(g_nof_streams-1 DOWNTO 0); + SIGNAL dp_fifo_sc_snk_out_arr : t_dp_siso_arr(g_nof_streams-1 DOWNTO 0); + + SIGNAL dp_fifo_sc_src_out_arr : t_dp_sosi_arr(g_nof_streams-1 DOWNTO 0); + SIGNAL dp_fifo_sc_src_in_arr : t_dp_siso_arr(g_nof_streams-1 DOWNTO 0); + + SIGNAL dp_offload_tx_src_out_arr : t_dp_sosi_arr(g_nof_streams-1 DOWNTO 0); + SIGNAL dp_offload_tx_src_in_arr : t_dp_siso_arr(g_nof_streams-1 DOWNTO 0); + + SIGNAL hdr_fields_in_arr : t_slv_1024_arr(g_nof_streams-1 DOWNTO 0); + SIGNAL id_backplane : STD_LOGIC_VECTOR(c_byte_w-1 DOWNTO 0); + SIGNAL id_chip : STD_LOGIC_VECTOR(c_byte_w-1 DOWNTO 0); + +BEGIN + + --------------------------------------------------------------------------------------- + -- Swap the bytes in the 32b words to make them little-endian + --------------------------------------------------------------------------------------- + p_connect : PROCESS(snk_in) + BEGIN + -- Control + mms_dp_xonoff_snk_in_arr(0) <= snk_in; + -- Data: re&im concatenated so real part is put on the line first: re,im,re,im, .. + mms_dp_xonoff_snk_in_arr(0).data( c_byte_w-1 DOWNTO 0) <= snk_in.data(4*c_byte_w-1 DOWNTO 3*c_byte_w); + mms_dp_xonoff_snk_in_arr(0).data(2*c_byte_w-1 DOWNTO c_byte_w) <= snk_in.data(3*c_byte_w-1 DOWNTO 2*c_byte_w); + mms_dp_xonoff_snk_in_arr(0).data(3*c_byte_w-1 DOWNTO 2*c_byte_w) <= snk_in.data(2*c_byte_w-1 DOWNTO c_byte_w); + mms_dp_xonoff_snk_in_arr(0).data(4*c_byte_w-1 DOWNTO 3*c_byte_w) <= snk_in.data( c_byte_w-1 DOWNTO 0); + END PROCESS; + + ----------------------------------------------------------------------------- + -- DP Xon Off. --> output to the GPU machine(s) can be switched on or off. + ----------------------------------------------------------------------------- + u_mms_dp_xonoff : ENTITY dp_lib.mms_dp_xonoff + GENERIC MAP( + g_nof_streams => 1, + g_combine_streams => TRUE, + g_bypass => FALSE, + g_default_value => sel_a_b(g_sim, '1', '0') -- Sim: on by default like block gens + ) + PORT MAP( + mm_rst => mm_rst, + mm_clk => mm_clk, + + reg_mosi => reg_dp_xonoff_output_mosi, + reg_miso => reg_dp_xonoff_output_miso, + + dp_rst => dp_rst, + dp_clk => dp_clk, + + snk_out_arr => mms_dp_xonoff_snk_out_arr, + snk_in_arr => mms_dp_xonoff_snk_in_arr, + + src_in_arr => dp_fifo_sc_snk_out_arr, + src_out_arr => dp_fifo_sc_snk_in_arr + ); + + --------------------------------------------------------------------------------------- + -- FIFO + --------------------------------------------------------------------------------------- + u_dp_fifo_sc : ENTITY dp_lib.dp_fifo_sc + GENERIC MAP( + g_technology => g_technology, + g_data_w => c_tech_tse_data_w, + g_bsn_w => c_bsn_w, + g_fifo_size => c_fifo_size, + g_use_bsn => TRUE, + g_use_sync => TRUE, --Used to realign to 50 timesample grid in dp_offload_tx + g_use_ctrl => TRUE + ) + PORT MAP ( + rst => dp_rst, + clk => dp_clk, + + snk_out => dp_fifo_sc_snk_out_arr(0), + snk_in => dp_fifo_sc_snk_in_arr(0), + + src_in => dp_fifo_sc_src_in_arr(0), + src_out => dp_fifo_sc_src_out_arr(0) + ); + + --------------------------------------------------------------------------------------- + -- dp_offload_tx + --------------------------------------------------------------------------------------- + u_dp_offload_tx : ENTITY dp_lib.dp_offload_tx + GENERIC MAP ( + g_technology => g_technology, + g_nof_streams => g_nof_streams, + g_data_w => c_tech_tse_data_w, + g_use_complex => FALSE, + g_nof_words_per_block => c_nof_words_per_block, + g_nof_blocks_per_packet => c_nof_blocks_per_packet, + g_hdr_field_arr => c_hdr_field_arr, + g_hdr_field_sel => c_hdr_field_sel, + g_pkt_merge_align_at_sync => TRUE -- Maintain 50 timesample grid during packet merging + ) + PORT MAP ( + mm_rst => mm_rst, + mm_clk => mm_clk, + + dp_rst => dp_rst, + dp_clk => dp_clk, + + reg_hdr_dat_mosi => reg_dp_offload_tx_hdr_dat_mosi, + reg_hdr_dat_miso => reg_dp_offload_tx_hdr_dat_miso, + + snk_in_arr => dp_fifo_sc_src_out_arr, + snk_out_arr => dp_fifo_sc_src_in_arr, + + src_out_arr => dp_offload_tx_src_out_arr, + src_in_arr => dp_offload_tx_src_in_arr, + + hdr_fields_in_arr => hdr_fields_in_arr + ); + + src_out_arr <= dp_offload_tx_src_out_arr; + dp_offload_tx_src_in_arr <= src_in_arr; + + --------------------------------------------------------------------------------------- + -- Extract the backplane number from ID + --------------------------------------------------------------------------------------- + id_backplane <= RESIZE_UVEC(ID(7 DOWNTO 3), c_byte_w); + id_chip <= RESIZE_UVEC(ID(2 DOWNTO 0), c_byte_w); + + --------------------------------------------------------------------------------------- + -- Assign DP record fields and ID to header fields + --------------------------------------------------------------------------------------- + gen_slv_hard_fields : FOR i IN 0 TO g_nof_streams-1 GENERATE + hdr_fields_in_arr(i)(field_hi(c_hdr_field_arr, "eth_src_mac" ) DOWNTO field_lo(c_hdr_field_arr, "eth_src_mac" )) <= x"00228608" & id_backplane & id_chip; + hdr_fields_in_arr(i)(field_hi(c_hdr_field_arr, "udp_src_port" ) DOWNTO field_lo(c_hdr_field_arr, "udp_src_port" )) <= x"D0" & ID; + hdr_fields_in_arr(i)(field_hi(c_hdr_field_arr, "udp_dst_port" ) DOWNTO field_lo(c_hdr_field_arr, "udp_dst_port" )) <= x"D0" & ID; + hdr_fields_in_arr(i)(field_hi(c_hdr_field_arr, "ip_src_addr" ) DOWNTO field_lo(c_hdr_field_arr, "ip_src_addr" )) <= x"0A63" & id_backplane & INCR_UVEC(id_chip, 1); + + hdr_fields_in_arr(i)(field_hi(c_hdr_field_arr, "id_source_id" ) DOWNTO field_lo(c_hdr_field_arr, "id_source_id" )) <= x"00" & id_backplane; + hdr_fields_in_arr(i)(field_hi(c_hdr_field_arr, "id_n_channels" ) DOWNTO field_lo(c_hdr_field_arr, "id_n_channels" )) <= TO_UVEC(g_nof_channels, c_halfword_w); + hdr_fields_in_arr(i)(field_hi(c_hdr_field_arr, "id_n_blocks" ) DOWNTO field_lo(c_hdr_field_arr, "id_n_blocks" )) <= TO_UVEC(g_nof_blocks, c_halfword_w); + hdr_fields_in_arr(i)(field_hi(c_hdr_field_arr, "id_timestamp" ) DOWNTO field_lo(c_hdr_field_arr, "id_timestamp" )) <= dp_fifo_sc_src_out_arr(0).bsn; + END GENERATE; + +END wrap; diff --git a/applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4_mm_master.vhd b/applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4_mm_master.vhd new file mode 100644 index 0000000000..a633b2d9bb --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4_mm_master.vhd @@ -0,0 +1,635 @@ +------------------------------------------------------------------------------- +-- +-- Copyright (C) 2015 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- JIVE (Joint Institute for VLBI in Europe) <http://www.jive.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program. If not, see <http://www.gnu.org/licenses/>. +-- +------------------------------------------------------------------------------- + + +LIBRARY IEEE; -- So we can use STD_LOGIC etc. + USE IEEE.STD_LOGIC_1164.ALL; + USE IEEE.NUMERIC_STD.ALL; +LIBRARY common_lib; -- Funcions such as sel_a_b() + USE common_lib.common_pkg.ALL; + USE common_lib.common_mem_pkg.ALL; +LIBRARY dp_lib; + USE dp_lib.dp_stream_pkg.ALL; + +LIBRARY eth_lib,technology_lib,tech_tse_lib; + +USE eth_lib.eth_pkg.ALL; +USE technology_lib.technology_pkg.ALL; +USE tech_tse_lib.tech_tse_pkg.ALL; +USE tech_tse_lib.tb_tech_tse_pkg.ALL; +USE common_lib.common_network_total_header_pkg.ALL; +USE common_lib.common_network_layers_pkg.ALL; +USE common_lib.tb_common_mem_pkg.ALL; + +ENTITY arts_unb1_sc4_mm_master IS + GENERIC ( + g_sim : BOOLEAN := FALSE; --FALSE: use QSYS; TRUE: use mm_file I/O + g_sim_unb_nr : NATURAL := 0; + g_sim_node_nr : NATURAL := 0; + g_nof_polarizations : NATURAL := 2 + ); + PORT ( + mm_rst : IN STD_LOGIC; + mm_clk : IN STD_LOGIC; + eth1g_mm_rst : OUT STD_LOGIC; + eth1g_irq : IN STD_LOGIC; + pout_wdi : OUT STD_LOGIC; + eth1g_tse_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + eth1g_tse_miso : IN t_mem_miso; + eth1g_reg_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + eth1g_reg_miso : IN t_mem_miso; + eth1g_ram_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + eth1g_ram_miso : IN t_mem_miso; + reg_unb_sens_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + reg_unb_sens_miso : IN t_mem_miso; + reg_epcs_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + reg_epcs_miso : IN t_mem_miso; + reg_remu_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + reg_remu_miso : IN t_mem_miso; + reg_ppsh_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + reg_ppsh_miso : IN t_mem_miso; + pio_system_info_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + pio_system_info_miso : IN t_mem_miso; + rom_unb_system_info_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + rom_unb_system_info_miso : IN t_mem_miso; + reg_wdi_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + reg_wdi_miso : IN t_mem_miso; + reg_mdio_0_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + reg_mdio_0_miso : IN t_mem_miso := c_mem_miso_rst; + reg_mdio_1_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + reg_mdio_1_miso : IN t_mem_miso := c_mem_miso_rst; + reg_mdio_2_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + reg_mdio_2_miso : IN t_mem_miso := c_mem_miso_rst; + reg_dp_offload_rx_hdr_dat_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + reg_dp_offload_rx_hdr_dat_miso : IN t_mem_miso := c_mem_miso_rst; + reg_dp_offload_tx_hdr_dat_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + reg_dp_offload_tx_hdr_dat_miso : IN t_mem_miso := c_mem_miso_rst; + reg_tr_10gbe_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + reg_tr_10gbe_miso : IN t_mem_miso := c_mem_miso_rst; + reg_tr_xaui_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + reg_tr_xaui_miso : IN t_mem_miso := c_mem_miso_rst; + ram_bf_unit_ss_ss_wide_mosi_arr : OUT t_mem_mosi_arr(g_nof_polarizations-1 DOWNTO 0) := (OTHERS=>c_mem_mosi_rst); + ram_bf_unit_ss_ss_wide_miso_arr : IN t_mem_miso_arr(g_nof_polarizations-1 DOWNTO 0); + ram_bf_unit_bf_weights_mosi_arr : OUT t_mem_mosi_arr(g_nof_polarizations-1 DOWNTO 0) := (OTHERS=>c_mem_mosi_rst); + ram_bf_unit_bf_weights_miso_arr : IN t_mem_miso_arr(g_nof_polarizations-1 DOWNTO 0); + ram_bf_unit_st_sst_mosi_arr : OUT t_mem_mosi_arr(g_nof_polarizations-1 DOWNTO 0) := (OTHERS=>c_mem_mosi_rst); + ram_bf_unit_st_sst_miso_arr : IN t_mem_miso_arr(g_nof_polarizations-1 DOWNTO 0); + reg_bf_unit_st_sst_mosi_arr : OUT t_mem_mosi_arr(g_nof_polarizations-1 DOWNTO 0) := (OTHERS=>c_mem_mosi_rst); + reg_bf_unit_st_sst_miso_arr : IN t_mem_miso_arr(g_nof_polarizations-1 DOWNTO 0); + reg_dp_xonoff_output_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + reg_dp_xonoff_output_miso : IN t_mem_miso; + reg_dp_bsn_align_input_mosi : OUT t_mem_mosi := c_mem_mosi_rst; + reg_dp_bsn_align_input_miso : IN t_mem_miso := c_mem_miso_rst; + reg_dp_bsn_align_mesh_mosi : OUT t_mem_mosi; + reg_dp_bsn_align_mesh_miso : IN t_mem_miso := c_mem_miso_rst + ); +END arts_unb1_sc4_mm_master; +ARCHITECTURE str OF arts_unb1_sc4_mm_master IS + + CONSTANT c_sim_node_type : STRING(1 TO 2) := sel_a_b(g_sim_node_nr<4, "FN", "BN"); + CONSTANT c_sim_node_nr : NATURAL := sel_a_b(c_sim_node_type="BN", g_sim_node_nr-4, g_sim_node_nr); + CONSTANT c_sim_eth_src_mac : STD_LOGIC_VECTOR(c_network_eth_mac_slv'RANGE) := X"00228608" & TO_UVEC(g_sim_unb_nr, c_byte_w) & TO_UVEC(g_sim_node_nr, c_byte_w); + CONSTANT c_sim_eth_control_rx_en : NATURAL := 2**c_eth_mm_reg_control_bi.rx_en; + + SIGNAL sim_eth_mm_bus_switch : STD_LOGIC ; + SIGNAL sim_eth_psc_access : STD_LOGIC ; + SIGNAL i_eth1g_reg_mosi : t_mem_mosi; + SIGNAL i_eth1g_reg_miso : t_mem_miso; + SIGNAL mm_rst_n : STD_LOGIC ; + SIGNAL sim_eth1g_reg_mosi : t_mem_mosi; + + COMPONENT qsys_mm_master IS + PORT ( + clk_in_clk : IN STD_LOGIC; + reset_in_reset_n : IN STD_LOGIC; + + eth1g_mm_rst_export : OUT STD_LOGIC; + eth1g_irq_export : IN STD_LOGIC; + out_port_from_the_pio_wdi : OUT STD_LOGIC; + + eth1g_tse_address_export : OUT STD_LOGIC_VECTOR(10-1 DOWNTO 0); +-- eth1g_tse_clk_export : OUT STD_LOGIC; + eth1g_tse_read_export : OUT STD_LOGIC; + eth1g_tse_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +-- eth1g_tse_reset_export : OUT STD_LOGIC; + eth1g_tse_write_export : OUT STD_LOGIC; + eth1g_tse_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + eth1g_tse_waitrequest_export : IN STD_LOGIC; + + eth1g_reg_address_export : OUT STD_LOGIC_VECTOR(4-1 DOWNTO 0); +-- eth1g_reg_clk_export : OUT STD_LOGIC; + eth1g_reg_read_export : OUT STD_LOGIC; + eth1g_reg_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +-- eth1g_reg_reset_export : OUT STD_LOGIC; + eth1g_reg_write_export : OUT STD_LOGIC; + eth1g_reg_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + eth1g_ram_address_export : OUT STD_LOGIC_VECTOR(10-1 DOWNTO 0); +-- eth1g_ram_clk_export : OUT STD_LOGIC; + eth1g_ram_read_export : OUT STD_LOGIC; + eth1g_ram_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +-- eth1g_ram_reset_export : OUT STD_LOGIC; + eth1g_ram_write_export : OUT STD_LOGIC; + eth1g_ram_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + reg_unb_sens_address_export : OUT STD_LOGIC_VECTOR(3-1 DOWNTO 0); +-- reg_unb_sens_clk_export : OUT STD_LOGIC; + reg_unb_sens_read_export : OUT STD_LOGIC; + reg_unb_sens_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +-- reg_unb_sens_reset_export : OUT STD_LOGIC; + reg_unb_sens_write_export : OUT STD_LOGIC; + reg_unb_sens_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + reg_epcs_address_export : OUT STD_LOGIC_VECTOR(3-1 DOWNTO 0); +-- reg_epcs_clk_export : OUT STD_LOGIC; + reg_epcs_read_export : OUT STD_LOGIC; + reg_epcs_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +-- reg_epcs_reset_export : OUT STD_LOGIC; + reg_epcs_write_export : OUT STD_LOGIC; + reg_epcs_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + reg_remu_address_export : OUT STD_LOGIC_VECTOR(3-1 DOWNTO 0); +-- reg_remu_clk_export : OUT STD_LOGIC; + reg_remu_read_export : OUT STD_LOGIC; + reg_remu_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +-- reg_remu_reset_export : OUT STD_LOGIC; + reg_remu_write_export : OUT STD_LOGIC; + reg_remu_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + reg_ppsh_address_export : OUT STD_LOGIC_VECTOR(1-1 DOWNTO 0); +-- reg_ppsh_clk_export : OUT STD_LOGIC; + reg_ppsh_read_export : OUT STD_LOGIC; + reg_ppsh_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +-- reg_ppsh_reset_export : OUT STD_LOGIC; + reg_ppsh_write_export : OUT STD_LOGIC; + reg_ppsh_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + pio_system_info_address_export : OUT STD_LOGIC_VECTOR(5-1 DOWNTO 0); +-- pio_system_info_clk_export : OUT STD_LOGIC; + pio_system_info_read_export : OUT STD_LOGIC; + pio_system_info_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +-- pio_system_info_reset_export : OUT STD_LOGIC; + pio_system_info_write_export : OUT STD_LOGIC; + pio_system_info_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + rom_unb_system_info_address_export : OUT STD_LOGIC_VECTOR(10-1 DOWNTO 0); +-- rom_unb_system_info_clk_export : OUT STD_LOGIC; + rom_unb_system_info_read_export : OUT STD_LOGIC; + rom_unb_system_info_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +-- rom_unb_system_info_reset_export : OUT STD_LOGIC; + rom_unb_system_info_write_export : OUT STD_LOGIC; + rom_unb_system_info_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + reg_wdi_address_export : OUT STD_LOGIC_VECTOR(1-1 DOWNTO 0); +-- reg_wdi_clk_export : OUT STD_LOGIC; + reg_wdi_read_export : OUT STD_LOGIC; + reg_wdi_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +-- reg_wdi_reset_export : OUT STD_LOGIC; + reg_wdi_write_export : OUT STD_LOGIC; + reg_wdi_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + reg_dp_offload_tx_hdr_dat_address_export : OUT STD_LOGIC_VECTOR(6-1 DOWNTO 0); +-- reg_dp_offload_tx_hdr_dat_clk_export : OUT STD_LOGIC; + reg_dp_offload_tx_hdr_dat_read_export : OUT STD_LOGIC; + reg_dp_offload_tx_hdr_dat_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0) := (OTHERS => '0'); +-- reg_dp_offload_tx_hdr_dat_reset_export : OUT STD_LOGIC; + reg_dp_offload_tx_hdr_dat_write_export : OUT STD_LOGIC; + reg_dp_offload_tx_hdr_dat_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + reg_dp_offload_rx_hdr_dat_address_export : OUT STD_LOGIC_VECTOR(7-1 DOWNTO 0); +-- reg_dp_offload_rx_hdr_dat_clk_export : OUT STD_LOGIC; + reg_dp_offload_rx_hdr_dat_read_export : OUT STD_LOGIC; + reg_dp_offload_rx_hdr_dat_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0) := (OTHERS => '0'); +-- reg_dp_offload_rx_hdr_dat_reset_export : OUT STD_LOGIC; + reg_dp_offload_rx_hdr_dat_write_export : OUT STD_LOGIC; + reg_dp_offload_rx_hdr_dat_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + reg_mdio_0_address_export : OUT STD_LOGIC_VECTOR(3-1 DOWNTO 0); +-- reg_mdio_0_clk_export : OUT STD_LOGIC; + reg_mdio_0_read_export : OUT STD_LOGIC; + reg_mdio_0_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0) := (OTHERS => '0'); +-- reg_mdio_0_reset_export : OUT STD_LOGIC; + reg_mdio_0_write_export : OUT STD_LOGIC; + reg_mdio_0_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + reg_mdio_1_address_export : OUT STD_LOGIC_VECTOR(3-1 DOWNTO 0); +-- reg_mdio_1_clk_export : OUT STD_LOGIC; + reg_mdio_1_read_export : OUT STD_LOGIC; + reg_mdio_1_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0) := (OTHERS => '0'); +-- reg_mdio_1_reset_export : OUT STD_LOGIC; + reg_mdio_1_write_export : OUT STD_LOGIC; + reg_mdio_1_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + reg_mdio_2_address_export : OUT STD_LOGIC_VECTOR(3-1 DOWNTO 0); +-- reg_mdio_2_clk_export : OUT STD_LOGIC; + reg_mdio_2_read_export : OUT STD_LOGIC; + reg_mdio_2_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0) := (OTHERS => '0'); +-- reg_mdio_2_reset_export : OUT STD_LOGIC; + reg_mdio_2_write_export : OUT STD_LOGIC; + reg_mdio_2_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + reg_tr_xaui_address_export : OUT STD_LOGIC_VECTOR(11-1 DOWNTO 0); +-- reg_tr_xaui_clk_export : OUT STD_LOGIC; + reg_tr_xaui_read_export : OUT STD_LOGIC; + reg_tr_xaui_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0) := (OTHERS => '0'); +-- reg_tr_xaui_reset_export : OUT STD_LOGIC; + reg_tr_xaui_write_export : OUT STD_LOGIC; + reg_tr_xaui_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + reg_tr_xaui_waitrequest_export : IN STD_LOGIC; + + reg_tr_10GbE_address_export : OUT STD_LOGIC_VECTOR(15-1 DOWNTO 0); +-- reg_tr_10GbE_clk_export : OUT STD_LOGIC; + reg_tr_10GbE_read_export : OUT STD_LOGIC; + reg_tr_10GbE_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0) := (OTHERS => '0'); +-- reg_tr_10GbE_reset_export : OUT STD_LOGIC; + reg_tr_10GbE_write_export : OUT STD_LOGIC; + reg_tr_10GbE_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + reg_tr_10GbE_waitrequest_export : IN STD_LOGIC; + + ram_bf_unit_x_ss_ss_wide_address_export : OUT STD_LOGIC_VECTOR(4-1 DOWNTO 0); +-- ram_bf_unit_x_ss_ss_wide_clk_export : OUT STD_LOGIC; + ram_bf_unit_x_ss_ss_wide_read_export : OUT STD_LOGIC; + ram_bf_unit_x_ss_ss_wide_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +-- ram_bf_unit_x_ss_ss_wide_reset_export : OUT STD_LOGIC; + ram_bf_unit_x_ss_ss_wide_write_export : OUT STD_LOGIC; + ram_bf_unit_x_ss_ss_wide_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + ram_bf_unit_x_bf_weights_address_export : OUT STD_LOGIC_VECTOR(5-1 DOWNTO 0); +-- ram_bf_unit_x_bf_weights_clk_export : OUT STD_LOGIC; + ram_bf_unit_x_bf_weights_read_export : OUT STD_LOGIC; + ram_bf_unit_x_bf_weights_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +-- ram_bf_unit_x_bf_weights_reset_export : OUT STD_LOGIC; + ram_bf_unit_x_bf_weights_write_export : OUT STD_LOGIC; + ram_bf_unit_x_bf_weights_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + ram_bf_unit_x_st_sst_address_export : OUT STD_LOGIC_VECTOR(3-1 DOWNTO 0); +-- ram_bf_unit_x_st_sst_clk_export : OUT STD_LOGIC; + ram_bf_unit_x_st_sst_read_export : OUT STD_LOGIC; + ram_bf_unit_x_st_sst_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +-- ram_bf_unit_x_st_sst_reset_export : OUT STD_LOGIC; + ram_bf_unit_x_st_sst_write_export : OUT STD_LOGIC; + ram_bf_unit_x_st_sst_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + reg_bf_unit_x_st_sst_address_export : OUT STD_LOGIC_VECTOR(6-1 DOWNTO 0); +-- reg_bf_unit_x_st_sst_clk_export : OUT STD_LOGIC; + reg_bf_unit_x_st_sst_read_export : OUT STD_LOGIC; + reg_bf_unit_x_st_sst_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +-- reg_bf_unit_x_st_sst_reset_export : OUT STD_LOGIC; + reg_bf_unit_x_st_sst_write_export : OUT STD_LOGIC; + reg_bf_unit_x_st_sst_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + +-- ram_bf_unit_y_ss_ss_wide_address_export : OUT STD_LOGIC_VECTOR(4-1 DOWNTO 0); +---- ram_bf_unit_y_ss_ss_wide_clk_export : OUT STD_LOGIC; +-- ram_bf_unit_y_ss_ss_wide_read_export : OUT STD_LOGIC; +-- ram_bf_unit_y_ss_ss_wide_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +---- ram_bf_unit_y_ss_ss_wide_reset_export : OUT STD_LOGIC; +-- ram_bf_unit_y_ss_ss_wide_write_export : OUT STD_LOGIC; +-- ram_bf_unit_y_ss_ss_wide_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +-- +-- ram_bf_unit_y_bf_weights_address_export : OUT STD_LOGIC_VECTOR(5-1 DOWNTO 0); +---- ram_bf_unit_y_bf_weights_clk_export : OUT STD_LOGIC; +-- ram_bf_unit_y_bf_weights_read_export : OUT STD_LOGIC; +-- ram_bf_unit_y_bf_weights_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +---- ram_bf_unit_y_bf_weights_reset_export : OUT STD_LOGIC; +-- ram_bf_unit_y_bf_weights_write_export : OUT STD_LOGIC; +-- ram_bf_unit_y_bf_weights_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +-- +-- ram_bf_unit_y_st_sst_address_export : OUT STD_LOGIC_VECTOR(3-1 DOWNTO 0); +---- ram_bf_unit_y_st_sst_clk_export : OUT STD_LOGIC; +-- ram_bf_unit_y_st_sst_read_export : OUT STD_LOGIC; +-- ram_bf_unit_y_st_sst_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +---- ram_bf_unit_y_st_sst_reset_export : OUT STD_LOGIC; +-- ram_bf_unit_y_st_sst_write_export : OUT STD_LOGIC; +-- ram_bf_unit_y_st_sst_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +-- +-- reg_bf_unit_y_st_sst_address_export : OUT STD_LOGIC_VECTOR(6-1 DOWNTO 0); +---- reg_bf_unit_y_st_sst_clk_export : OUT STD_LOGIC; +-- reg_bf_unit_y_st_sst_read_export : OUT STD_LOGIC; +-- reg_bf_unit_y_st_sst_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +---- reg_bf_unit_y_st_sst_reset_export : OUT STD_LOGIC; +-- reg_bf_unit_y_st_sst_write_export : OUT STD_LOGIC; +-- reg_bf_unit_y_st_sst_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); + + reg_dp_xonoff_output_address_export : OUT STD_LOGIC_VECTOR(1-1 DOWNTO 0); +-- reg_dp_xonoff_output_clk_export : OUT STD_LOGIC; + reg_dp_xonoff_output_read_export : OUT STD_LOGIC; + reg_dp_xonoff_output_readdata_export : IN STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0); +-- reg_dp_xonoff_output_reset_export : OUT STD_LOGIC; + reg_dp_xonoff_output_write_export : OUT STD_LOGIC; + reg_dp_xonoff_output_writedata_export : OUT STD_LOGIC_VECTOR(c_word_w-1 DOWNTO 0) ; + + reg_dp_bsn_align_input_address_export : out std_logic_vector(1 downto 0); + reg_dp_bsn_align_input_writedata_export : out std_logic_vector(31 downto 0); + reg_dp_bsn_align_input_reset_export : out std_logic; + reg_dp_bsn_align_input_clk_export : out std_logic; + reg_dp_bsn_align_input_write_export : out std_logic; + reg_dp_bsn_align_input_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); + reg_dp_bsn_align_input_read_export : out std_logic; + + + reg_dp_bsn_align_mesh_address_export : out std_logic_vector(2 downto 0); + reg_dp_bsn_align_mesh_writedata_export : out std_logic_vector(31 downto 0); + reg_dp_bsn_align_mesh_reset_export : out std_logic; + reg_dp_bsn_align_mesh_clk_export : out std_logic; + reg_dp_bsn_align_mesh_write_export : out std_logic; + reg_dp_bsn_align_mesh_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); + reg_dp_bsn_align_mesh_read_export : out std_logic + + ); + END COMPONENT qsys_mm_master; + +BEGIN + + mm_rst_n <= NOT mm_rst; + + gen_qsys_mm_master : IF g_sim = FALSE GENERATE + u_qsys_mm_master : qsys_mm_master + PORT MAP ( + clk_in_clk => mm_clk, + reset_in_reset_n => mm_rst_n, + eth1g_mm_rst_export => eth1g_mm_rst, + eth1g_irq_export => eth1g_irq, + out_port_from_the_pio_wdi => pout_wdi, + + eth1g_tse_address_export => eth1g_tse_mosi.address(10-1 DOWNTO 0), +-- eth1g_tse_clk_export => OPEN, + eth1g_tse_read_export => eth1g_tse_mosi.rd, + eth1g_tse_readdata_export => eth1g_tse_miso.rddata(c_word_w-1 DOWNTO 0), +-- eth1g_tse_reset_export => OPEN, + eth1g_tse_write_export => eth1g_tse_mosi.wr, + eth1g_tse_writedata_export => eth1g_tse_mosi.wrdata(c_word_w-1 DOWNTO 0), + + eth1g_tse_waitrequest_export => eth1g_tse_miso.waitrequest, + + eth1g_reg_address_export => eth1g_reg_mosi.address(4-1 DOWNTO 0), +-- eth1g_reg_clk_export => OPEN, + eth1g_reg_read_export => eth1g_reg_mosi.rd, + eth1g_reg_readdata_export => eth1g_reg_miso.rddata(c_word_w-1 DOWNTO 0), +-- eth1g_reg_reset_export => OPEN, + eth1g_reg_write_export => eth1g_reg_mosi.wr, + eth1g_reg_writedata_export => eth1g_reg_mosi.wrdata(c_word_w-1 DOWNTO 0), + + eth1g_ram_address_export => eth1g_ram_mosi.address(10-1 DOWNTO 0), +-- eth1g_ram_clk_export => OPEN, + eth1g_ram_read_export => eth1g_ram_mosi.rd, + eth1g_ram_readdata_export => eth1g_ram_miso.rddata(c_word_w-1 DOWNTO 0), +-- eth1g_ram_reset_export => OPEN, + eth1g_ram_write_export => eth1g_ram_mosi.wr, + eth1g_ram_writedata_export => eth1g_ram_mosi.wrdata(c_word_w-1 DOWNTO 0), + + reg_unb_sens_address_export => reg_unb_sens_mosi.address(3-1 DOWNTO 0), +-- reg_unb_sens_clk_export => OPEN, + reg_unb_sens_read_export => reg_unb_sens_mosi.rd, + reg_unb_sens_readdata_export => reg_unb_sens_miso.rddata(c_word_w-1 DOWNTO 0), +-- reg_unb_sens_reset_export => OPEN, + reg_unb_sens_write_export => reg_unb_sens_mosi.wr, + reg_unb_sens_writedata_export => reg_unb_sens_mosi.wrdata(c_word_w-1 DOWNTO 0), + + reg_epcs_address_export => reg_epcs_mosi.address(3-1 DOWNTO 0), +-- reg_epcs_clk_export => OPEN, + reg_epcs_read_export => reg_epcs_mosi.rd, + reg_epcs_readdata_export => reg_epcs_miso.rddata(c_word_w-1 DOWNTO 0), +-- reg_epcs_reset_export => OPEN, + reg_epcs_write_export => reg_epcs_mosi.wr, + reg_epcs_writedata_export => reg_epcs_mosi.wrdata(c_word_w-1 DOWNTO 0), + + reg_remu_address_export => reg_remu_mosi.address(3-1 DOWNTO 0), +-- reg_remu_clk_export => OPEN, + reg_remu_read_export => reg_remu_mosi.rd, + reg_remu_readdata_export => reg_remu_miso.rddata(c_word_w-1 DOWNTO 0), +-- reg_remu_reset_export => OPEN, + reg_remu_write_export => reg_remu_mosi.wr, + reg_remu_writedata_export => reg_remu_mosi.wrdata(c_word_w-1 DOWNTO 0), + + reg_ppsh_address_export => reg_ppsh_mosi.address(1-1 DOWNTO 0), +-- reg_ppsh_clk_export => OPEN, + reg_ppsh_read_export => reg_ppsh_mosi.rd, + reg_ppsh_readdata_export => reg_ppsh_miso.rddata(c_word_w-1 DOWNTO 0), +-- reg_ppsh_reset_export => OPEN, + reg_ppsh_write_export => reg_ppsh_mosi.wr, + reg_ppsh_writedata_export => reg_ppsh_mosi.wrdata(c_word_w-1 DOWNTO 0), + + pio_system_info_address_export => pio_system_info_mosi.address(5-1 DOWNTO 0), +-- pio_system_info_clk_export => OPEN, + pio_system_info_read_export => pio_system_info_mosi.rd, + pio_system_info_readdata_export => pio_system_info_miso.rddata(c_word_w-1 DOWNTO 0), +-- pio_system_info_reset_export => OPEN, + pio_system_info_write_export => pio_system_info_mosi.wr, + pio_system_info_writedata_export => pio_system_info_mosi.wrdata(c_word_w-1 DOWNTO 0), + + rom_unb_system_info_address_export => rom_unb_system_info_mosi.address(10-1 DOWNTO 0), +-- rom_unb_system_info_clk_export => OPEN, + rom_unb_system_info_read_export => rom_unb_system_info_mosi.rd, + rom_unb_system_info_readdata_export => rom_unb_system_info_miso.rddata(c_word_w-1 DOWNTO 0), +-- rom_unb_system_info_reset_export => OPEN, + rom_unb_system_info_write_export => rom_unb_system_info_mosi.wr, + rom_unb_system_info_writedata_export => rom_unb_system_info_mosi.wrdata(c_word_w-1 DOWNTO 0), + + reg_wdi_address_export => reg_wdi_mosi.address(1-1 DOWNTO 0), +-- reg_wdi_clk_export => OPEN, + reg_wdi_read_export => reg_wdi_mosi.rd, + reg_wdi_readdata_export => reg_wdi_miso.rddata(c_word_w-1 DOWNTO 0), +-- reg_wdi_reset_export => OPEN, + reg_wdi_write_export => reg_wdi_mosi.wr, + reg_wdi_writedata_export => reg_wdi_mosi.wrdata(c_word_w-1 DOWNTO 0), + + reg_dp_offload_tx_hdr_dat_address_export => reg_dp_offload_tx_hdr_dat_mosi.address(6-1 DOWNTO 0), +-- reg_dp_offload_tx_hdr_dat_clk_export => OPEN, + reg_dp_offload_tx_hdr_dat_read_export => reg_dp_offload_tx_hdr_dat_mosi.rd, + reg_dp_offload_tx_hdr_dat_readdata_export => reg_dp_offload_tx_hdr_dat_miso.rddata(c_word_w-1 DOWNTO 0), +-- reg_dp_offload_tx_hdr_dat_reset_export => OPEN, + reg_dp_offload_tx_hdr_dat_write_export => reg_dp_offload_tx_hdr_dat_mosi.wr, + reg_dp_offload_tx_hdr_dat_writedata_export => reg_dp_offload_tx_hdr_dat_mosi.wrdata(c_word_w-1 DOWNTO 0), + + reg_dp_offload_rx_hdr_dat_address_export => reg_dp_offload_rx_hdr_dat_mosi.address(7-1 DOWNTO 0), +-- reg_dp_offload_rx_hdr_dat_clk_export => OPEN, + reg_dp_offload_rx_hdr_dat_read_export => reg_dp_offload_rx_hdr_dat_mosi.rd, + reg_dp_offload_rx_hdr_dat_readdata_export => reg_dp_offload_rx_hdr_dat_miso.rddata(c_word_w-1 DOWNTO 0), +-- reg_dp_offload_rx_hdr_dat_reset_export => OPEN, + reg_dp_offload_rx_hdr_dat_write_export => reg_dp_offload_rx_hdr_dat_mosi.wr, + reg_dp_offload_rx_hdr_dat_writedata_export => reg_dp_offload_rx_hdr_dat_mosi.wrdata(c_word_w-1 DOWNTO 0), + + reg_mdio_0_address_export => reg_mdio_0_mosi.address(3-1 DOWNTO 0), +-- reg_mdio_0_clk_export => OPEN, + reg_mdio_0_read_export => reg_mdio_0_mosi.rd, + reg_mdio_0_readdata_export => reg_mdio_0_miso.rddata(c_word_w-1 DOWNTO 0), +-- reg_mdio_0_reset_export => OPEN, + reg_mdio_0_write_export => reg_mdio_0_mosi.wr, + reg_mdio_0_writedata_export => reg_mdio_0_mosi.wrdata(c_word_w-1 DOWNTO 0), + + reg_mdio_1_address_export => reg_mdio_1_mosi.address(3-1 DOWNTO 0), +-- reg_mdio_1_clk_export => OPEN, + reg_mdio_1_read_export => reg_mdio_1_mosi.rd, + reg_mdio_1_readdata_export => reg_mdio_1_miso.rddata(c_word_w-1 DOWNTO 0), +-- reg_mdio_1_reset_export => OPEN, + reg_mdio_1_write_export => reg_mdio_1_mosi.wr, + reg_mdio_1_writedata_export => reg_mdio_1_mosi.wrdata(c_word_w-1 DOWNTO 0), + + reg_mdio_2_address_export => reg_mdio_2_mosi.address(3-1 DOWNTO 0), +-- reg_mdio_2_clk_export => OPEN, + reg_mdio_2_read_export => reg_mdio_2_mosi.rd, + reg_mdio_2_readdata_export => reg_mdio_2_miso.rddata(c_word_w-1 DOWNTO 0), +-- reg_mdio_2_reset_export => OPEN, + reg_mdio_2_write_export => reg_mdio_2_mosi.wr, + reg_mdio_2_writedata_export => reg_mdio_2_mosi.wrdata(c_word_w-1 DOWNTO 0), + + reg_tr_10gbe_address_export => reg_tr_10gbe_mosi.address(15-1 DOWNTO 0), +-- reg_tr_10gbe_clk_export => OPEN, + reg_tr_10gbe_read_export => reg_tr_10gbe_mosi.rd, + reg_tr_10gbe_readdata_export => reg_tr_10gbe_miso.rddata(c_word_w-1 DOWNTO 0), +-- reg_tr_10gbe_reset_export => OPEN, + reg_tr_10gbe_waitrequest_export => reg_tr_10gbe_miso.waitrequest, + reg_tr_10gbe_write_export => reg_tr_10gbe_mosi.wr, + reg_tr_10gbe_writedata_export => reg_tr_10gbe_mosi.wrdata(c_word_w-1 DOWNTO 0), + + reg_tr_xaui_address_export => reg_tr_xaui_mosi.address(11-1 DOWNTO 0), +-- reg_tr_xaui_clk_export => OPEN, + reg_tr_xaui_read_export => reg_tr_xaui_mosi.rd, + reg_tr_xaui_readdata_export => reg_tr_xaui_miso.rddata(c_word_w-1 DOWNTO 0), +-- reg_tr_xaui_reset_export => OPEN, + reg_tr_xaui_waitrequest_export => reg_tr_xaui_miso.waitrequest, + reg_tr_xaui_write_export => reg_tr_xaui_mosi.wr, + reg_tr_xaui_writedata_export => reg_tr_xaui_mosi.wrdata(c_word_w-1 DOWNTO 0), + + ram_bf_unit_x_ss_ss_wide_address_export => ram_bf_unit_ss_ss_wide_mosi_arr(0).address(4-1 DOWNTO 0), +-- ram_bf_unit_x_ss_ss_wide_clk_export => OPEN, + ram_bf_unit_x_ss_ss_wide_read_export => ram_bf_unit_ss_ss_wide_mosi_arr(0).rd, + ram_bf_unit_x_ss_ss_wide_readdata_export => ram_bf_unit_ss_ss_wide_miso_arr(0).rddata(c_word_w-1 DOWNTO 0), +-- ram_bf_unit_x_ss_ss_wide_reset_export => OPEN, + ram_bf_unit_x_ss_ss_wide_write_export => ram_bf_unit_ss_ss_wide_mosi_arr(0).wr, + ram_bf_unit_x_ss_ss_wide_writedata_export => ram_bf_unit_ss_ss_wide_mosi_arr(0).wrdata(c_word_w-1 DOWNTO 0), + + ram_bf_unit_x_bf_weights_address_export => ram_bf_unit_bf_weights_mosi_arr(0).address(5-1 DOWNTO 0), +-- ram_bf_unit_x_bf_weights_clk_export => OPEN, + ram_bf_unit_x_bf_weights_read_export => ram_bf_unit_bf_weights_mosi_arr(0).rd, + ram_bf_unit_x_bf_weights_readdata_export => ram_bf_unit_bf_weights_miso_arr(0).rddata(c_word_w-1 DOWNTO 0), +-- ram_bf_unit_x_bf_weights_reset_export => OPEN, + ram_bf_unit_x_bf_weights_write_export => ram_bf_unit_bf_weights_mosi_arr(0).wr, + ram_bf_unit_x_bf_weights_writedata_export => ram_bf_unit_bf_weights_mosi_arr(0).wrdata(c_word_w-1 DOWNTO 0), + + ram_bf_unit_x_st_sst_address_export => ram_bf_unit_st_sst_mosi_arr(0).address(3-1 DOWNTO 0), +-- ram_bf_unit_x_st_sst_clk_export => OPEN, + ram_bf_unit_x_st_sst_read_export => ram_bf_unit_st_sst_mosi_arr(0).rd, + ram_bf_unit_x_st_sst_readdata_export => ram_bf_unit_st_sst_miso_arr(0).rddata(c_word_w-1 DOWNTO 0), +-- ram_bf_unit_x_st_sst_reset_export => OPEN, + ram_bf_unit_x_st_sst_write_export => ram_bf_unit_st_sst_mosi_arr(0).wr, + ram_bf_unit_x_st_sst_writedata_export => ram_bf_unit_st_sst_mosi_arr(0).wrdata(c_word_w-1 DOWNTO 0), + + reg_bf_unit_x_st_sst_address_export => reg_bf_unit_st_sst_mosi_arr(0).address(6-1 DOWNTO 0), +-- reg_bf_unit_x_st_sst_clk_export => OPEN, + reg_bf_unit_x_st_sst_read_export => reg_bf_unit_st_sst_mosi_arr(0).rd, + reg_bf_unit_x_st_sst_readdata_export => reg_bf_unit_st_sst_miso_arr(0).rddata(c_word_w-1 DOWNTO 0), +-- reg_bf_unit_x_st_sst_reset_export => OPEN, + reg_bf_unit_x_st_sst_write_export => reg_bf_unit_st_sst_mosi_arr(0).wr, + reg_bf_unit_x_st_sst_writedata_export => reg_bf_unit_st_sst_mosi_arr(0).wrdata(c_word_w-1 DOWNTO 0), + +-- ram_bf_unit_y_ss_ss_wide_address_export => ram_bf_unit_ss_ss_wide_mosi_arr(1).address(4-1 DOWNTO 0), +---- ram_bf_unit_y_ss_ss_wide_clk_export => OPEN, +-- ram_bf_unit_y_ss_ss_wide_read_export => ram_bf_unit_ss_ss_wide_mosi_arr(1).rd, +-- ram_bf_unit_y_ss_ss_wide_readdata_export => ram_bf_unit_ss_ss_wide_miso_arr(1).rddata(c_word_w-1 DOWNTO 0), +---- ram_bf_unit_y_ss_ss_wide_reset_export => OPEN, +-- ram_bf_unit_y_ss_ss_wide_write_export => ram_bf_unit_ss_ss_wide_mosi_arr(1).wr, +-- ram_bf_unit_y_ss_ss_wide_writedata_export => ram_bf_unit_ss_ss_wide_mosi_arr(1).wrdata(c_word_w-1 DOWNTO 0), +-- 1 +-- ram_bf_unit_y_bf_weights_address_export => ram_bf_unit_bf_weights_mosi_arr(1).address(5-1 DOWNTO 0), +---- ram_bf_unit_y_bf_weights_clk_export => OPEN, +-- ram_bf_unit_y_bf_weights_read_export => ram_bf_unit_bf_weights_mosi_arr(1).rd, +-- ram_bf_unit_y_bf_weights_readdata_export => ram_bf_unit_bf_weights_miso_arr(1).rddata(c_word_w-1 DOWNTO 0), +---- ram_bf_unit_y_bf_weights_reset_export => OPEN, +-- ram_bf_unit_y_bf_weights_write_export => ram_bf_unit_bf_weights_mosi_arr(1).wr, +-- ram_bf_unit_y_bf_weights_writedata_export => ram_bf_unit_bf_weights_mosi_arr(1).wrdata(c_word_w-1 DOWNTO 0), +-- +-- ram_bf_unit_y_st_sst_address_export => ram_bf_unit_st_sst_mosi_arr(1).address(3-1 DOWNTO 0), +---- ram_bf_unit_y_st_sst_clk_export => OPEN, +-- ram_bf_unit_y_st_sst_read_export => ram_bf_unit_st_sst_mosi_arr(1).rd, +-- ram_bf_unit_y_st_sst_readdata_export => ram_bf_unit_st_sst_miso_arr(1).rddata(c_word_w-1 DOWNTO 0), +---- ram_bf_unit_y_st_sst_reset_export => OPEN, +-- ram_bf_unit_y_st_sst_write_export => ram_bf_unit_st_sst_mosi_arr(1).wr, +-- ram_bf_unit_y_st_sst_writedata_export => ram_bf_unit_st_sst_mosi_arr(1).wrdata(c_word_w-1 DOWNTO 0), +-- +-- reg_bf_unit_y_st_sst_address_export => reg_bf_unit_st_sst_mosi_arr(1).address(6-1 DOWNTO 0), +---- reg_bf_unit_y_st_sst_clk_export => OPEN, +-- reg_bf_unit_y_st_sst_read_export => reg_bf_unit_st_sst_mosi_arr(1).rd, +-- reg_bf_unit_y_st_sst_readdata_export => reg_bf_unit_st_sst_miso_arr(1).rddata(c_word_w-1 DOWNTO 0), +---- reg_bf_unit_y_st_sst_reset_export => OPEN, +-- reg_bf_unit_y_st_sst_write_export => reg_bf_unit_st_sst_mosi_arr(1).wr, +-- reg_bf_unit_y_st_sst_writedata_export => reg_bf_unit_st_sst_mosi_arr(1).wrdata(c_word_w-1 DOWNTO 0), + + reg_dp_xonoff_output_address_export => reg_dp_xonoff_output_mosi.address(1-1 DOWNTO 0), +-- reg_dp_xonoff_output_clk_export => OPEN, + reg_dp_xonoff_output_read_export => reg_dp_xonoff_output_mosi.rd, + reg_dp_xonoff_output_readdata_export => reg_dp_xonoff_output_miso.rddata(c_word_w-1 DOWNTO 0), +-- reg_dp_xonoff_output_reset_export => OPEN, + reg_dp_xonoff_output_write_export => reg_dp_xonoff_output_mosi.wr, + reg_dp_xonoff_output_writedata_export => reg_dp_xonoff_output_mosi.wrdata(c_word_w-1 DOWNTO 0), + + reg_dp_bsn_align_input_address_export => reg_dp_bsn_align_input_mosi.address(1 DOWNTO 0), + reg_dp_bsn_align_input_clk_export => OPEN, + reg_dp_bsn_align_input_read_export => reg_dp_bsn_align_input_mosi.rd, + reg_dp_bsn_align_input_readdata_export => reg_dp_bsn_align_input_miso.rddata(c_word_w-1 DOWNTO 0), + reg_dp_bsn_align_input_reset_export => OPEN, + reg_dp_bsn_align_input_write_export => reg_dp_bsn_align_input_mosi.wr, + reg_dp_bsn_align_input_writedata_export => reg_dp_bsn_align_input_mosi.wrdata(c_word_w-1 DOWNTO 0), + + reg_dp_bsn_align_mesh_address_export => reg_dp_bsn_align_mesh_mosi.address(2 DOWNTO 0), + reg_dp_bsn_align_mesh_clk_export => OPEN, + reg_dp_bsn_align_mesh_read_export => reg_dp_bsn_align_mesh_mosi.rd, + reg_dp_bsn_align_mesh_readdata_export => reg_dp_bsn_align_mesh_miso.rddata(c_word_w-1 DOWNTO 0), + reg_dp_bsn_align_mesh_reset_export => OPEN, + reg_dp_bsn_align_mesh_write_export => reg_dp_bsn_align_mesh_mosi.wr, + reg_dp_bsn_align_mesh_writedata_export => reg_dp_bsn_align_mesh_mosi.wrdata(c_word_w-1 DOWNTO 0) + + + ); + END GENERATE; + + gen_sim : IF g_sim = TRue GENERATE + ---------------------------------------------------------------------------- + -- 1GbE setup sequence normally performed by unb_os@NIOS + ---------------------------------------------------------------------------- + eth1g_mm_rst <= '1', '0' AFTER 40 ns; + p_eth_setup : PROCESS + BEGIN + sim_eth_mm_bus_switch <= '1'; + eth1g_tse_mosi.wr <= '0'; + eth1g_tse_mosi.rd <= '0'; + WAIT FOR 400 ns; + WAIT UNTIL rising_edge(mm_clk); + proc_tech_tse_setup(c_tech_stratixiv, FALSE, c_tech_tse_tx_fifo_depth, c_tech_tse_rx_fifo_depth, c_tech_tse_tx_ready_latency, c_sim_eth_src_mac, sim_eth_psc_access, mm_clk, eth1g_tse_miso, eth1g_tse_mosi); + -- Enable RX + proc_mem_mm_bus_wr(c_eth_reg_control_wi+0, c_sim_eth_control_rx_en, mm_clk, eth1g_reg_miso, sim_eth1g_reg_mosi); -- control rx en + sim_eth_mm_bus_switch <= '0'; + WAIT; + END PROCESS; + + p_switch : PROCESS(sim_eth_mm_bus_switch, sim_eth1g_reg_mosi, i_eth1g_reg_mosi) + BEGIN + IF sim_eth_mm_bus_switch = '1' THEN + eth1g_reg_mosi <= sim_eth1g_reg_mosi; + ELSE + eth1g_reg_mosi <= i_eth1g_reg_mosi; + END IF; + END PROCESS; + END GENERATE; + +END str; diff --git a/applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4_output.vhd b/applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4_output.vhd new file mode 100644 index 0000000000..e195f074a0 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4_output.vhd @@ -0,0 +1,71 @@ +------------------------------------------------------------------------------- +-- +-- Copyright (C) 2017 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program. If not, see <http://www.gnu.org/licenses/>. +-- +------------------------------------------------------------------------------- + +-- Author: +-- . Daniel van der Schuur +-- . Jonathan Hargreaves +-- Purpose: +-- . Receive beamlets from 10GbE, reorder and feed into arts_unb1_sc4_processing.vhd +-- Description: +-- . + +LIBRARY IEEE, common_lib, work, technology_lib, mm_lib, unb1_board_lib, dp_lib, tech_tse_lib; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.NUMERIC_STD.ALL; +USE common_lib.common_pkg.ALL; +USE common_lib.common_mem_pkg.ALL; +USE dp_lib.dp_stream_pkg.ALL; +USE common_lib.common_field_pkg.ALL; +USE unb1_board_lib.unb1_board_pkg.ALL; +USE tech_tse_lib.tech_tse_pkg.ALL; +USE technology_lib.technology_select_pkg.ALL; + +ENTITY arts_unb1_sc4_input IS + GENERIC ( + g_nof_streams : POSITIVE := 1; + g_nof_blocks : NATURAL := 50; + g_nof_channels : NATURAL := 24; + g_sim : BOOLEAN + ); + PORT ( + mm_rst : IN STD_LOGIC; + mm_clk : IN STD_LOGIC; + + dp_rst : IN STD_LOGIC; + dp_clk : IN STD_LOGIC; + + snk_in : IN t_dp_sosi; + snk_out : OUT t_dp_siso; + + src_out_arr : OUT t_dp_sosi_arr(g_nof_streams-1 DOWNTO 0); + src_in_arr : IN t_dp_siso_arr(g_nof_streams-1 DOWNTO 0); + + ID : IN STD_LOGIC_VECTOR(c_unb1_board_aux.id_w-1 DOWNTO 0) + ); +END arts_unb1_sc4_input; + + +ARCHITECTURE str OF arts_unb1_sc4_input IS + + +BEGIN + +END str; diff --git a/applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4_processing.vhd b/applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4_processing.vhd new file mode 100644 index 0000000000..0545780466 --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/src/vhdl/arts_unb1_sc4_processing.vhd @@ -0,0 +1,300 @@ +------------------------------------------------------------------------------- +-- +-- Copyright (C) 2016 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- JIVE (Joint Institute for VLBI in Europe) <http://www.jive.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program. If not, see <http://www.gnu.org/licenses/>. +-- +------------------------------------------------------------------------------- + +-- Purpose: +-- . SC4/SC3 processing stage +-- Description: +-- . Can be used for both SC4 and SC3; +-- . Filter + FFT +-- . TAB, IAB beam forming +-- . IQUV calculation, integration + +LIBRARY IEEE; + USE IEEE.STD_LOGIC_1164.ALL; + USE IEEE.NUMERIC_STD.ALL; +LIBRARY common_lib; + USE common_lib.common_pkg.ALL; + USE common_lib.common_mem_pkg.ALL; +LIBRARY dp_lib; + USE dp_lib.dp_stream_pkg.ALL; +LIBRARY bf_lib; + USE bf_lib.bf_pkg.ALL; + +ENTITY arts_unb1_sc4_processing IS --FIXME Given the generics, this could be used for SC3 as well (8 dishes, less TABs). Rename/move required? + GENERIC ( + g_nof_telescopes : NATURAL := 12; + g_nof_polarizations : NATURAL := 2; + g_nof_tabs : NATURAL := 1;--FIXME 1 TAB = 1 bf_unit first. 12 later. + g_nof_blk_per_sync : NATURAL := 800000; + g_nof_fft_bins : NATURAL := 32; + g_input_data_w : NATURAL := 8; + g_use_wpfb : BOOLEAN := TRUE + ); + PORT ( + dp_clk : IN STD_LOGIC; + dp_rst : IN STD_LOGIC; + + mm_clk : IN STD_LOGIC; + mm_rst : IN STD_LOGIC; + + snk_in_arr : IN t_dp_sosi_arr(g_nof_telescopes-1 DOWNTO 0); +-- snk_out_arr : t_dp_siso_arr(g_nof_telescopes-1 DOWNTO 0); --FIXME take care of required flow control within this entity + + src_out_arr : OUT t_dp_sosi_arr(g_nof_tabs-1 DOWNTO 0) -- FIXME Add an extra stream for the IAB + ); +END arts_unb1_sc4_processing; + +ARCHITECTURE str OF arts_unb1_sc4_processing IS + + SIGNAL dp_sync_checker_snk_in_arr : t_dp_sosi_arr(g_nof_telescopes-1 DOWNTO 0); + SIGNAL dp_sync_checker_src_out_arr : t_dp_sosi_arr(g_nof_telescopes-1 DOWNTO 0); + + SIGNAL dp_sync_insert_snk_in_arr : t_dp_sosi_arr(g_nof_telescopes-1 DOWNTO 0); + SIGNAL dp_sync_insert_src_out_arr : t_dp_sosi_arr(g_nof_telescopes-1 DOWNTO 0); + + ------------------------------------------------------------------------------- + -- WPFB + ------------------------------------------------------------------------------- + CONSTANT c_use_prefilter : BOOLEAN := FALSE; + CONSTANT c_wpfb_wb_factor : NATURAL := 1; + CONSTANT c_wpfb_nof_wb_streams : NATURAL := g_nof_telescopes; + CONSTANT c_wpfb_nof_chan : NATURAL := g_nof_polarizations; + CONSTANT c_wpfb_nof_points : NATURAL := c_nof_channels; + CONSTANT c_wpfb_nof_taps : NATURAL := 8; + CONSTANT c_wpfb_coef_w : NATURAL := 9; + CONSTANT c_wpfb_in_backoff_w : natural := 0; + CONSTANT c_wpfb_in_dat_w : NATURAL := g_input_data_w; + CONSTANT c_wpfb_fft_in_dat_w : NATURAL := g_input_data_w; + CONSTANT c_wpfb_out_dat_w : NATURAL := 12; + CONSTANT c_wpfb_out_dat_significant_w : NATURAL := sel_a_b(g_input_data_w = 6, 10, 12); + CONSTANT c_wpfb_out_gain_w : NATURAL := 0; + CONSTANT c_wpfb_use_separate : BOOLEAN := FALSE; + CONSTANT c_wpfb_use_reorder : BOOLEAN := FALSE; + CONSTANT c_wpfb_use_fft_shift : BOOLEAN := FALSE; + + CONSTANT c_wpfb : t_wpfb := (c_wpfb_wb_factor, c_wpfb_nof_points, c_wpfb_nof_chan, c_wpfb_nof_wb_streams, + c_wpfb_nof_taps, c_wpfb_in_backoff_w, c_wpfb_in_dat_w, 16, c_wpfb_coef_w, + c_wpfb_use_reorder, c_wpfb_use_fft_shift, c_wpfb_use_separate, c_wpfb_fft_in_dat_w, c_wpfb_out_dat_w, c_wpfb_out_gain_w, 18, 2, true, 56, 2, c_nof_blocks_per_sync, + c_fft_pipeline, c_fft_pipeline, c_fil_ppf_pipeline); + + CONSTANT c_wpfb_coefs_file_prefix : STRING := "hex/chan_fil_coefs_wide1_p32_t8"; + + SIGNAL wpfb_snk_in_arr : t_dp_sosi_arr(g_nof_telescopes-1 DOWNTO 0); + SIGNAL wpfb_src_out_arr : t_dp_sosi_arr(g_nof_telescopes-1 DOWNTO 0); + + ------------------------------------------------------------------------------- + -- TAB Beam Former + ------------------------------------------------------------------------------- + -- nof_signal_paths : POSITIVE; -- = 12 12 + -- nof_input_streams : POSITIVE; -- = 12 12 + -- nof_subbands : POSITIVE; -- = 24 240 = 2 pols * 5 beams * 24 subbands. Upgrade to channel resolution would increse by 4x to 960. + -- nof_weights : POSITIVE; -- = 24 240 + -- nof_bf_units : POSITIVE; -- = 1 1 first, 12 later + -- in_dat_w : POSITIVE; -- = 16 + -- in_weight_w : POSITIVE; -- = 16 + -- bst_gain_w : INTEGER; -- = 1 + -- bst_dat_w : POSITIVE; -- = 16 + -- out_gain_w : INTEGER; -- = 1 + -- out_dat_w : POSITIVE; -- = 8 + -- stat_data_w : POSITIVE; -- = 32 + -- stat_data_sz : POSITIVE; -- = 2 + + CONSTANT c_bf_bf : t_c_bf := (g_nof_telescopes, g_nof_telescopes, c_nof_bf_subbands, c_nof_bf_subbands, g_nof_tabs, c_channel_dat_w, 16, 1, 16, 1, 8, 32, 2); + + CONSTANT c_bf_bf_weights_file_name : STRING := "hex/bf_weights"; + CONSTANT c_bf_ss_wide_file_prefix : STRING := "hex/bf_ss_wide"; + + SIGNAL ram_bf_ss_ss_wide_mosi : t_mem_mosi; --FIXME Move to entity I/O later. + SIGNAL ram_bf_ss_ss_wide_miso : t_mem_miso; + SIGNAL ram_bf_weights_mosi : t_mem_mosi; + SIGNAL ram_bf_weights_miso : t_mem_miso; + SIGNAL ram_bf_st_sst_mosi : t_mem_mosi; + SIGNAL ram_bf_st_sst_miso : t_mem_miso; + SIGNAL reg_bf_st_sst_mosi : t_mem_mosi; + SIGNAL reg_bf_st_sst_miso : t_mem_miso; + + SIGNAL bf_snk_in_arr : t_dp_sosi_arr(g_nof_telescopes-1 DOWNTO 0); + SIGNAL bf_snk_out_arr : t_dp_siso_arr(g_nof_telescopes-1 DOWNTO 0); + + SIGNAL bf_raw_src_out_arr : t_dp_sosi_arr(g_nof_tabs-1 DOWNTO 0); + SIGNAL bf_bst_src_out_arr : t_dp_sosi_arr(g_nof_tabs-1 DOWNTO 0); + SIGNAL bf_qua_src_out_arr : t_dp_sosi_arr(g_nof_tabs-1 DOWNTO 0); + + +BEGIN + +-- ------------------------------------------------------------------------------- +-- -- DP Sync Checker +-- -- . Make sure there are 88 beamlets*800000 timesamples per sync pulse. +-- ------------------------------------------------------------------------------- +-- dp_sync_checker_snk_in_arr <= snk_in_arr; +-- +-- gen_dp_sync_ckecker : FOR i IN 0 TO g_nof_telescopes-1 GENERATE +-- u_dp_sync_checker : ENTITY dp_lib.dp_sync_checker +-- GENERIC MAP( +-- g_nof_blk_per_sync => g_nof_blk_per_sync -- 800000 = 88 beamlets * 800000 timesamples / 88 (nof timesamples/block) +-- ) +-- PORT MAP( +-- dp_clk => dp_clk, +-- dp_rst => dp_rst, +-- snk_out => OPEN, +-- snk_in => dp_sync_checker_snk_in_arr(i), +-- src_in => c_dp_siso_rdy, +-- src_out => dp_sync_checker_src_out_arr(i) +-- ); +-- END GENERATE; +-- +-- ------------------------------------------------------------------------------- +-- -- DP Sync Insert +-- -- . Insert a sub-sync to tag every beamlet block of 800000 timesamples +-- -- . Insert new block boundaries every 32*2pols timesamples for the FFT. +-- ------------------------------------------------------------------------------- +-- dp_sync_insert_snk_in_arr <= dp_sync_checker_src_out_arr; +-- +-- gen_dp_sync_insert : FOR i IN 0 TO g_nof_telescopes-1 GENERATE +-- u_dp_sync_insert : ENTITY dp_lib.dp_sync_insert +-- GENERIC MAP( +-- g_nof_data_per_blk => g_nof_fft_bins*g_nof_polarizations, -- 32 samples * 2 pols=64 +-- g_nof_blk_per_sync => g_nof_blk_per_sync/g_nof_fft_bins -- 800000/32=25000 +-- ) +-- PORT MAP( +-- rst => dp_rst, +-- clk => dp_clk, +-- +-- snk_in => dp_sync_insert_snk_in_arr(i), +-- +-- src_out => dp_sync_insert_src_out_arr(i) +-- ); +-- END GENERATE; + +-- ------------------------------------------------------------------------------- +-- -- Filter + FFT +-- ------------------------------------------------------------------------------- +-- wpfb_snk_in_arr <= dp_sync_insert_src_out_arr; +-- +-- gen_wpfb_unit : IF g_use_wpfb = TRUE GENERATE +-- u_wpfb_unit : ENTITY wpfb_lib.wpfb_unit_dev --FIXME This is the DEV version +-- GENERIC MAP( +-- g_wpfb => c_wpfb, +-- g_stats_ena => FALSE, +-- g_use_prefilter => FALSE, +-- g_use_bg => FALSE, +-- g_file_index_arr => array_init(0, g_nof_fft_bins*g_nof_polarizations, 1), +-- g_coefs_file_prefix => c_wpfb_coefs_file_prefix +-- ) +-- PORT MAP( +-- dp_rst => dp_rst, +-- dp_clk => dp_clk, +-- mm_rst => mm_rst, +-- mm_clk => mm_clk, +-- -- ram_fil_coefs_mosi => ram_fil_coefs_mosi, +-- -- ram_fil_coefs_miso => ram_fil_coefs_miso, +-- -- ram_st_sst_mosi => ram_st_sst_mosi, +-- -- ram_st_sst_miso => ram_st_sst_miso, +-- reg_bg_ctrl_mosi => c_mem_mosi_rst, +-- reg_bg_ctrl_miso => OPEN, +-- ram_bg_data_mosi => c_mem_mosi_rst, +-- ram_bg_data_miso => OPEN, +-- in_sosi_arr => wpfb_snk_in_arr, +-- out_sosi_arr => wpfb_src_out_arr +-- ); +-- END GENERATE; +-- +-- gen_wpfb_src_out_arr : IF g_use_wpfb=FALSE GENERATE +-- wpfb_src_out_arr <= wpfb_snk_in_arr; +-- END GENERATE; + + + ------------------------------------------------------------------------------- + -- TAB Beam former FIFO stage: BF module requires flow control + ------------------------------------------------------------------------------- + gen_dp_fifo_sc : FOR i IN 0 TO g_nof_telescopes-1 GENERATE + u_dp_fifo_sc : ENTITY dp_lib.dp_fifo_sc + GENERIC MAP( + g_data_w => g_input_data_w, + g_bsn_w => 64, + g_fifo_size => 30, + g_use_bsn => TRUE, + g_use_sync => TRUE, + g_use_ctrl => TRUE + ) + PORT MAP ( + rst => dp_rst, + clk => dp_clk, + + snk_in => wpfb_src_out_arr(i), + + src_in => bf_src_out_arr(i), + src_out => bf_snk_in_arr(i) + ); + END GENERATE; + + ------------------------------------------------------------------------------- + -- TAB Beam Former + ------------------------------------------------------------------------------- + u_bf : ENTITY bf_lib.bf + GENERIC MAP ( + g_bf => c_bf_bf, + g_bf_weights_file_name => c_bf_bf_weights_file_name, + g_ss_wide_file_prefix => c_bf_ss_wide_file_prefix, + g_weights_write_only => TRUE + ) + PORT MAP ( + dp_rst => dp_rst, + dp_clk => dp_clk, + mm_rst => mm_rst, + mm_clk => mm_clk, + + ram_ss_ss_wide_mosi => ram_bf_ss_ss_wide_mosi, + ram_ss_ss_wide_miso => ram_bf_ss_ss_wide_miso, + ram_bf_weights_mosi => ram_bf_weights_mosi, + ram_bf_weights_miso => ram_bf_weights_miso, + ram_st_sst_mosi => ram_bf_st_sst_mosi, + ram_st_sst_miso => ram_bf_st_sst_miso, + reg_st_sst_mosi => reg_bf_st_sst_mosi, + reg_st_sst_miso => reg_bf_st_sst_miso, + +-- weight_addr_incr => bf_weight_addr_incr, --FIXME Not implemented +-- weight_addr_zero => bf_weight_addr_zero, --FIXME Not implemented + + in_sosi_arr => bf_snk_in_arr, + in_siso_arr => bf_snk_out_arr, --FIXME Do we need flow control? arts_unb1_sc1 + out_raw_sosi_arr => bf_raw_src_out_arr, + out_bst_sosi_arr => bf_bst_src_out_arr, + out_qua_sosi_arr => bf_qua_src_out_arr + ); + + src_out_arr <= bf_raw_src_out_arr; --FIXME add IAB as MS stream later. + + ------------------------------------------------------------------------------- + -- IQUV calculation of TABs + ------------------------------------------------------------------------------- + --FIXME + + ------------------------------------------------------------------------------- + -- IAB Beam Former + IAB IQUV calculation + ------------------------------------------------------------------------------- + --FIXME + + + +END str; diff --git a/applications/arts/designs/arts_unb1_sc4/tb/vhdl/tb_arts_unb1_sc4.vhd b/applications/arts/designs/arts_unb1_sc4/tb/vhdl/tb_arts_unb1_sc4.vhd new file mode 100644 index 0000000000..4487562cfd --- /dev/null +++ b/applications/arts/designs/arts_unb1_sc4/tb/vhdl/tb_arts_unb1_sc4.vhd @@ -0,0 +1,266 @@ +------------------------------------------------------------------------------- +-- +-- Copyright (C) 2016 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- JIVE (Joint Institute for VLBI in Europe) <http://www.jive.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program. If not, see <http://www.gnu.org/licenses/>. +-- +------------------------------------------------------------------------------- + +-- Purpose: +-- . Feed 24 (2 pols*12 telescopes) beamlet streams to an ARTS SC4 UniBoard. +-- Description: +-- . Instead of using 24 instances of apertif_unb1_fn_bf_emu to source beamlets +-- to a full ARTS SC1 UniBoard, we're using a single instance per polarisation +-- and copy its output 12 times. + +LIBRARY IEEE, common_lib, unb1_board_lib, i2c_lib, apertif_unb1_fn_bf_emu_lib, eth_lib; +USE IEEE.std_logic_1164.ALL; +USE IEEE.numeric_std.ALL; +USE common_lib.common_pkg.ALL; +USE unb1_board_lib.unb1_board_pkg.ALL; +USE unb1_board_lib.tb_unb1_board_pkg.ALL; +USE common_lib.tb_common_pkg.ALL; + +ENTITY tb_arts_unb1_sc4 IS +END tb_arts_unb1_sc4; + +ARCHITECTURE tb OF tb_arts_unb1_sc4 IS + + CONSTANT c_sim : BOOLEAN := TRUE; + CONSTANT c_nof_nodes : NATURAL := 1; --FIXME just 1 FPGA for now + CONSTANT c_unb_nr : NATURAL := 0; -- UniBoard 0 + CONSTANT c_xc_node_nr : NATURAL := 0; -- FN 0 + CONSTANT c_xc_id : STD_LOGIC_VECTOR(7 DOWNTO 0) := TO_UVEC(c_unb_nr, c_unb1_board_nof_uniboard_w) & TO_UVEC(c_xc_node_nr, c_unb1_board_nof_chip_w); + CONSTANT c_bf_node_nr : NATURAL := 1; -- FN 1 + CONSTANT c_bf_id : STD_LOGIC_VECTOR(7 DOWNTO 0) := TO_UVEC(c_unb_nr, c_unb1_board_nof_uniboard_w) & TO_UVEC(c_bf_node_nr, c_unb1_board_nof_chip_w); + + CONSTANT c_version : STD_LOGIC_VECTOR(1 DOWNTO 0) := "00"; + CONSTANT c_fw_version : t_unb1_board_fw_version := (1, 0); + + CONSTANT c_eth_clk_period : TIME := 40 ns; -- 25 MHz XO on UniBoard + CONSTANT c_sa_clk_period : TIME := 6.4 ns; + CONSTANT c_clk_period : TIME := 5 ns; + CONSTANT c_pps_period : NATURAL := 1000; + + SIGNAL clk : STD_LOGIC := '0'; + SIGNAL pps : STD_LOGIC := '0'; + SIGNAL pps_rst : STD_LOGIC := '0'; + SIGNAL sa_clk : STD_LOGIC := '1'; + + SIGNAL eth_clk : STD_LOGIC := '0'; + + SIGNAL VERSION : STD_LOGIC_VECTOR(c_unb1_board_aux.version_w-1 DOWNTO 0) := c_version; + SIGNAL TESTIO : STD_LOGIC_VECTOR(c_unb1_board_aux.testio_w-1 DOWNTO 0); + SIGNAL BF_ID : STD_LOGIC_VECTOR(c_unb1_board_aux.id_w-1 DOWNTO 0) := c_bf_id; + + SIGNAL sens_scl : STD_LOGIC; + SIGNAL sens_sda : STD_LOGIC; + + SIGNAL bf_si_fn_0_tx : STD_LOGIC_VECTOR(c_unb1_board_ci.tr.bus_w-1 DOWNTO 0); + + TYPE t_mesh_arr IS ARRAY (0 TO c_nof_nodes-1) OF STD_LOGIC_VECTOR(c_unb1_board_tr_mesh.bus_w-1 DOWNTO 0); + + SIGNAL FN_BN_0_TX_arr : t_mesh_arr; + SIGNAL FN_BN_0_RX_arr : t_mesh_arr; + SIGNAL FN_BN_1_TX_arr : t_mesh_arr; + SIGNAL FN_BN_1_RX_arr : t_mesh_arr; + SIGNAL FN_BN_2_TX_arr : t_mesh_arr; + SIGNAL FN_BN_2_RX_arr : t_mesh_arr; + SIGNAL FN_BN_3_TX_arr : t_mesh_arr; + SIGNAL FN_BN_3_RX_arr : t_mesh_arr; + + SIGNAL bn_out_mesh_serial_3arr : t_unb1_board_mesh_sl_3arr; + SIGNAL bn_in_mesh_serial_3arr : t_unb1_board_mesh_sl_3arr; + SIGNAL fn_in_mesh_serial_3arr : t_unb1_board_mesh_sl_3arr; + SIGNAL fn_out_mesh_serial_3arr : t_unb1_board_mesh_sl_3arr; + + -- Ethernet stats + CONSTANT c_eth_check_nof_packets : NATURAL := 1; + CONSTANT c_eth_packet_size : NATURAL := 1221; --(24 subbands*50 timesamples=) 1200 (2pols*16b=) 32b words + 21 header words + + SIGNAL eth_statistics_serial_in_arr : STD_LOGIC_VECTOR(c_nof_nodes-1 DOWNTO 0); + SIGNAL tb_end : STD_LOGIC := '0'; + +BEGIN + + ---------------------------------------------------------------------------- + -- System setup + ---------------------------------------------------------------------------- + clk <= NOT clk OR tb_end AFTER c_clk_period/2; -- External clock (200 MHz) + eth_clk <= NOT eth_clk OR tb_end AFTER c_eth_clk_period/2; -- Ethernet ref clock (25 MHz) + sa_clk <= NOT sa_clk OR tb_end AFTER c_sa_clk_period/2; + + ------------------------------------------------------------------------------ + -- External PPS + ------------------------------------------------------------------------------ + proc_common_gen_pulse(1, c_pps_period, '1', pps_rst, clk, pps); + + ------------------------------------------------------------------------------ + -- fn_beamformer emulator (block generator -> 10GbE) + -- . we're instantiating this design once but copying its 10GbE output 3 times + -- to provide 3 10GbE inputs for the correlator FGPA. + ------------------------------------------------------------------------------ +-- u_apertif_unb1_fn_bf_emu : ENTITY apertif_unb1_fn_bf_emu_lib.apertif_unb1_fn_bf_emu +-- GENERIC MAP ( +-- g_sim => c_sim, +-- g_sim_unb_nr => c_unb_nr, +-- g_sim_node_nr => c_bf_node_nr, +-- g_sim_bg_blocks_per_sync => 100 -- We're packing 50 timestamps/UDP packet. This setting tests g_pkt_merge_align_at_sync in dp_offload_tx. +-- ) +-- PORT MAP ( +-- -- GENERAL +-- CLK => clk, +-- PPS => pps, +-- +-- -- Others +-- VERSION => VERSION, +-- ID => BF_ID, +-- TESTIO => TESTIO, +-- +-- -- 1GbE Control Interface +-- ETH_clk => eth_clk, +-- ETH_SGIN => '0', +-- +-- -- Transceiver clocks +-- SA_CLK => sa_clk, +-- +-- -- Serial I/O +-- SI_FN_0_TX => bf_si_fn_0_tx, +-- +-- SI_FN_0_RX => (OTHERS => '0'), +-- SI_FN_1_RX => (OTHERS => '0'), +-- SI_FN_2_RX => (OTHERS => '0'), +-- SI_FN_3_RX => (OTHERS => '0') +-- ); + + ------------------------------------------------------------------------------ + -- Full UniBoard of ARTS SC1 beamformers + ------------------------------------------------------------------------------ + gen_arts_unb1_sc4 : FOR i IN 0 TO c_nof_nodes-1 GENERATE + u_arts_unb1_sc4 : ENTITY work.arts_unb1_sc4 + GENERIC MAP ( + g_sim => c_sim + ) + PORT MAP ( + -- GENERAL + CLK => clk, + PPS => pps, + + -- Others + VERSION => VERSION, + ID => TO_UVEC(i, c_unb1_board_aux.id_w), + TESTIO => TESTIO, + + -- 1GbE Control Interface + ETH_clk => eth_clk, + ETH_SGIN => '0', + ETH_SGOUT => eth_statistics_serial_in_arr(i), + + -- Transceiver clocks + SA_CLK => sa_clk, + SB_CLK => sa_clk, + + -- Serial I/O + SI_FN_0_RX => bf_si_fn_0_tx, + SI_FN_1_RX => bf_si_fn_0_tx, + SI_FN_2_RX => bf_si_fn_0_tx, + + FN_BN_0_TX => FN_BN_0_TX_arr(i), + FN_BN_0_RX => FN_BN_0_RX_arr(i), + FN_BN_1_TX => FN_BN_1_TX_arr(i), + FN_BN_1_RX => FN_BN_1_RX_arr(i), + FN_BN_2_TX => FN_BN_2_TX_arr(i), + FN_BN_2_RX => FN_BN_2_RX_arr(i), + FN_BN_3_TX => FN_BN_3_TX_arr(i), + FN_BN_3_RX => FN_BN_3_RX_arr(i) + ); + END GENERATE; + +-- ------------------------------------------------------------------------------ +-- -- Mesh model +-- ------------------------------------------------------------------------------ +-- u_unb1_board_mesh_model_sl : ENTITY unb1_board_lib.unb1_board_mesh_model_sl +-- PORT MAP ( +-- -- FN to BN +-- fn_tx_sl_3arr => fn_out_mesh_serial_3arr, +-- bn_rx_sl_3arr => bn_in_mesh_serial_3arr, +-- +-- -- BN to FN +-- bn_tx_sl_3arr => bn_out_mesh_serial_3arr, +-- fn_rx_sl_3arr => fn_in_mesh_serial_3arr +-- ); +-- +-- -- Use mesh_io block to create 3arr format for the mesh model. +-- gen_unb1_board_mesh_io : FOR i IN 0 TO 4-1 GENERATE +-- +-- -- Front nodes +-- u_unb1_board_mesh_io_fn : ENTITY unb1_board_lib.unb1_board_mesh_io +-- GENERIC MAP ( +-- g_bus_w => c_unb1_board_tr_mesh.bus_w +-- ) +-- PORT MAP ( +-- tx_serial_2arr => fn_in_mesh_serial_3arr(i), +-- rx_serial_2arr => fn_out_mesh_serial_3arr(i), +-- +-- -- Serial I/O +-- FN_BN_0_TX => FN_BN_0_RX_arr(i), +-- FN_BN_0_RX => FN_BN_0_TX_arr(i), +-- FN_BN_1_TX => FN_BN_1_RX_arr(i), +-- FN_BN_1_RX => FN_BN_1_TX_arr(i), +-- FN_BN_2_TX => FN_BN_2_RX_arr(i), +-- FN_BN_2_RX => FN_BN_2_TX_arr(i), +-- FN_BN_3_TX => FN_BN_3_RX_arr(i), +-- FN_BN_3_RX => FN_BN_3_TX_arr(i) +-- ); +-- +-- -- Back nodes +-- u_unb1_board_mesh_io_bn : ENTITY unb1_board_lib.unb1_board_mesh_io +-- GENERIC MAP ( +-- g_bus_w => c_unb1_board_tr_mesh.bus_w +-- ) +-- PORT MAP ( +-- tx_serial_2arr => bn_in_mesh_serial_3arr(i), +-- rx_serial_2arr => bn_out_mesh_serial_3arr(i), +-- +-- -- Serial I/O +-- FN_BN_0_TX => FN_BN_0_RX_arr(4+i), +-- FN_BN_0_RX => FN_BN_0_TX_arr(4+i), +-- FN_BN_1_TX => FN_BN_1_RX_arr(4+i), +-- FN_BN_1_RX => FN_BN_1_TX_arr(4+i), +-- FN_BN_2_TX => FN_BN_2_RX_arr(4+i), +-- FN_BN_2_RX => FN_BN_2_TX_arr(4+i), +-- FN_BN_3_TX => FN_BN_3_RX_arr(4+i), +-- FN_BN_3_RX => FN_BN_3_TX_arr(4+i) +-- ); +-- END GENERATE; +-- +-- ------------------------------------------------------------------------------ +-- -- Verify proper DUT output using Ethernet packet statistics +-- ------------------------------------------------------------------------------ +-- u_eth_statistics : ENTITY eth_lib.eth_statistics +-- GENERIC MAP ( +-- g_runtime_nof_packets => c_eth_check_nof_packets, +-- g_runtime_timeout => 1000000 ns, +-- g_check_nof_valid => TRUE, +-- g_check_nof_valid_ref => c_eth_check_nof_packets*c_eth_packet_size +-- ) +-- PORT MAP ( +-- eth_serial_in => eth_statistics_serial_in_arr(0), +-- tb_end => tb_end +-- ); + +END tb; -- GitLab