diff --git a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_I_II/transcript b/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_I_II/transcript deleted file mode 100644 index a2fbae16cce01318774563e94d30be5d0bf0f330..0000000000000000000000000000000000000000 --- a/boards/uniboard1/designs/unb1_test/revisions/unb1_test_ddr_MB_I_II/transcript +++ /dev/null @@ -1,58102 +0,0 @@ -# // ModelSim SE-64 6.6c Aug 23 2010 Linux 3.16.7-21-desktop -# // -# // Copyright 1991-2010 Mentor Graphics Corporation -# // All Rights Reserved. -# // -# // THIS WORK CONTAINS TRADE SECRET AND -# // PROPRIETARY INFORMATION WHICH IS THE PROPERTY -# // OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS -# // AND IS SUBJECT TO LICENSE TERMS. -# // -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/tools/modelsim/commands.do -# Loading general HDL library commands... -lp unb1_test_ddr_MB_I_II -# Loading project unb1_test_ddr_MB_I_II -# unb1_test_ddr_MB_I_II -mk clean all -# technology ip_stratixiv_ram tech_memory ip_stratixiv_fifo tech_fifo ip_stratixiv_ddio tech_iobuf tst common mm easics dp diag uth ppsh i2c diagnostics ip_stratixiv_transceiver tech_transceiver tr_nonbonded ip_stratixiv_tse_sgmii_lvds ip_stratixiv_tse_sgmii_gx tech_tse eth numonyx_m25p128 ip_stratixiv_flash tech_flash remu ip_stratixiv_pll ip_stratixiv_pll_clk25 tech_pll epcs unb1_board ip_stratixiv_mac_10g tech_mac_10g tech_10gbase_r ip_stratixiv_phy_xaui tech_xaui tech_eth_10g mdio tr_xaui tr_10GbE ip_stratixiv_ddr3_uphy_4g_800_master ip_stratixiv_ddr3_uphy_4g_800_slave ip_stratixiv_ddr3_uphy_4g_single_rank_800_master ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave ip_stratixiv_ddr3_mem_model tech_ddr io_ddr reorder unb1_test unb1_test_ddr_MB_I_II -# [mk clean technology] -# [mk clean ip_stratixiv_ram] -# [mk clean tech_memory] -# [mk clean ip_stratixiv_fifo] -# [mk clean tech_fifo] -# [mk clean ip_stratixiv_ddio] -# [mk clean tech_iobuf] -# [mk clean tst] -# [mk clean common] -# [mk clean mm] -# [mk clean easics] -# [mk clean dp] -# [mk clean diag] -# [mk clean uth] -# [mk clean ppsh] -# [mk clean i2c] -# [mk clean diagnostics] -# [mk clean ip_stratixiv_transceiver] -# [mk clean tech_transceiver] -# [mk clean tr_nonbonded] -# [mk clean ip_stratixiv_tse_sgmii_lvds] -# [mk clean ip_stratixiv_tse_sgmii_gx] -# [mk clean tech_tse] -# [mk clean eth] -# [mk clean numonyx_m25p128] -# [mk clean ip_stratixiv_flash] -# [mk clean tech_flash] -# [mk clean remu] -# [mk clean ip_stratixiv_pll] -# [mk clean ip_stratixiv_pll_clk25] -# [mk clean tech_pll] -# [mk clean epcs] -# [mk clean unb1_board] -# [mk clean ip_stratixiv_mac_10g] -# [mk clean tech_mac_10g] -# [mk clean tech_10gbase_r] -# [mk clean ip_stratixiv_phy_xaui] -# [mk clean tech_xaui] -# [mk clean tech_eth_10g] -# [mk clean mdio] -# [mk clean tr_xaui] -# [mk clean tr_10GbE] -# [mk clean ip_stratixiv_ddr3_uphy_4g_800_master] -# [mk clean ip_stratixiv_ddr3_uphy_4g_800_slave] -# [mk clean ip_stratixiv_ddr3_uphy_4g_single_rank_800_master] -# [mk clean ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave] -# [mk clean ip_stratixiv_ddr3_mem_model] -# [mk clean tech_ddr] -# [mk clean io_ddr] -# [mk clean reorder] -# [mk clean unb1_test] -# [mk clean unb1_test_ddr_MB_I_II] -# unb1_test_ddr_MB_I_II -mk all -# technology ip_stratixiv_ram tech_memory ip_stratixiv_fifo tech_fifo ip_stratixiv_ddio tech_iobuf tst common mm easics dp diag uth ppsh i2c diagnostics ip_stratixiv_transceiver tech_transceiver tr_nonbonded ip_stratixiv_tse_sgmii_lvds ip_stratixiv_tse_sgmii_gx tech_tse eth numonyx_m25p128 ip_stratixiv_flash tech_flash remu ip_stratixiv_pll ip_stratixiv_pll_clk25 tech_pll epcs unb1_board ip_stratixiv_mac_10g tech_mac_10g tech_10gbase_r ip_stratixiv_phy_xaui tech_xaui tech_eth_10g mdio tr_xaui tr_10GbE ip_stratixiv_ddr3_uphy_4g_800_master ip_stratixiv_ddr3_uphy_4g_800_slave ip_stratixiv_ddr3_uphy_4g_single_rank_800_master ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave ip_stratixiv_ddr3_mem_model tech_ddr io_ddr reorder unb1_test unb1_test_ddr_MB_I_II -# [mk compile technology] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project technology -# Compile of technology_pkg.vhd was successful with warnings. -# Compile of technology_select_pkg.vhd was successful. -# 2 compiles, 0 failed with no errors. -# [mk vmake technology] -# -# [mk make technology] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Compiling package technology_pkg -# -- Compiling package body technology_pkg -# -- Loading package technology_pkg -# ** Warning: [14] /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/technology_pkg.vhd(123): (vcom-1272) Length of expected is 9; length of actual is 49. -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling package technology_select_pkg -# [mk compile ip_stratixiv_ram] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_ram -# Compile of ip_stratixiv_ram_crwk_crw.vhd was successful. -# Compile of ip_stratixiv_ram_crw_crw.vhd was successful. -# Compile of ip_stratixiv_ram_cr_cw.vhd was successful. -# Compile of ip_stratixiv_ram_r_w.vhd was successful. -# Compile of ip_stratixiv_rom_r.vhd was successful. -# 5 compiles, 0 failed with no errors. -# [mk vmake ip_stratixiv_ram] -# -# [mk make ip_stratixiv_ram] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_rom_r -# -- Compiling architecture syn of ip_stratixiv_rom_r -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_ram_r_w -# -- Compiling architecture syn of ip_stratixiv_ram_r_w -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_ram_crwk_crw -# -- Compiling architecture syn of ip_stratixiv_ram_crwk_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_ram_crw_crw -# -- Compiling architecture syn of ip_stratixiv_ram_crw_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_ram_cr_cw -# -- Compiling architecture syn of ip_stratixiv_ram_cr_cw -# [mk compile tech_memory] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_memory -# Compile of tech_memory_component_pkg.vhd was successful. -# Compile of tech_memory_ram_cr_cw.vhd was successful. -# Compile of tech_memory_ram_crw_crw.vhd was successful. -# Compile of tech_memory_ram_crwk_crw.vhd was successful. -# Compile of tech_memory_ram_r_w.vhd was successful. -# Compile of tech_memory_rom_r.vhd was successful. -# 6 compiles, 0 failed with no errors. -# [mk vmake tech_memory] -# -# [mk make tech_memory] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package tech_memory_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_memory_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_memory_rom_r -# -- Compiling architecture str of tech_memory_rom_r -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_memory_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_memory_ram_r_w -# -- Compiling architecture str of tech_memory_ram_r_w -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_memory_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_memory_ram_crwk_crw -# -- Compiling architecture str of tech_memory_ram_crwk_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_memory_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_memory_ram_crw_crw -# -- Compiling architecture str of tech_memory_ram_crw_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_memory_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_memory_ram_cr_cw -# -- Compiling architecture str of tech_memory_ram_cr_cw -# [mk compile ip_stratixiv_fifo] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_fifo -# Compile of ip_stratixiv_fifo_dc_mixed_widths.vhd was successful. -# Compile of ip_stratixiv_fifo_dc.vhd was successful. -# Compile of ip_stratixiv_fifo_sc.vhd was successful. -# 3 compiles, 0 failed with no errors. -# [mk vmake ip_stratixiv_fifo] -# -# [mk make ip_stratixiv_fifo] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_fifo_sc -# -- Compiling architecture syn of ip_stratixiv_fifo_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_fifo_dc_mixed_widths -# -- Compiling architecture syn of ip_stratixiv_fifo_dc_mixed_widths -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_fifo_dc -# -- Compiling architecture syn of ip_stratixiv_fifo_dc -# [mk compile tech_fifo] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_fifo -# Compile of tech_fifo_component_pkg.vhd was successful. -# Compile of tech_fifo_sc.vhd was successful. -# Compile of tech_fifo_dc.vhd was successful. -# Compile of tech_fifo_dc_mixed_widths.vhd was successful. -# 4 compiles, 0 failed with no errors. -# [mk vmake tech_fifo] -# -# [mk make tech_fifo] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling package tech_fifo_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package tech_fifo_component_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_fifo_sc -# -- Compiling architecture str of tech_fifo_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package tech_fifo_component_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_fifo_dc_mixed_widths -# -- Compiling architecture str of tech_fifo_dc_mixed_widths -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package tech_fifo_component_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_fifo_dc -# -- Compiling architecture str of tech_fifo_dc -# [mk compile ip_stratixiv_ddio] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_ddio -# Compile of ip_stratixiv_ddio_in.vhd was successful. -# Compile of ip_stratixiv_ddio_out.vhd was successful. -# 2 compiles, 0 failed with no errors. -# [mk vmake ip_stratixiv_ddio] -# -# [mk make ip_stratixiv_ddio] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Compiling entity ip_stratixiv_ddio_out -# -- Compiling architecture str of ip_stratixiv_ddio_out -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Compiling entity ip_stratixiv_ddio_in -# -- Compiling architecture str of ip_stratixiv_ddio_in -# [mk compile tech_iobuf] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_iobuf -# Compile of tech_iobuf_component_pkg.vhd was successful. -# Compile of tech_iobuf_ddio_in.vhd was successful. -# Compile of tech_iobuf_ddio_out.vhd was successful. -# 3 compiles, 0 failed with no errors. -# [mk vmake tech_iobuf] -# -# [mk make tech_iobuf] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package tech_iobuf_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_iobuf_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_iobuf_ddio_out -# -- Compiling architecture str of tech_iobuf_ddio_out -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_iobuf_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_iobuf_ddio_in -# -- Compiling architecture str of tech_iobuf_ddio_in -# [mk compile tst] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tst -# Compile of tst_output.vhd was successful. -# Compile of tst_input.vhd was successful with warnings. -# 2 compiles, 0 failed with no errors. -# [mk vmake tst] -# -# [mk make tst] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package textio -# -- Compiling entity tst_output -# -- Compiling architecture beh of tst_output -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package textio -# -- Compiling entity tst_input -# -- Compiling architecture beh of tst_input -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/tst/src/vhdl/tst_input.vhd(248): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/tst/src/vhdl/tst_input.vhd(249): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/tst/src/vhdl/tst_input.vhd(250): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/tst/src/vhdl/tst_input.vhd(251): Case choice must be a locally static expression. -# [mk compile common] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project common -# Compile of common_pkg.vhd was successful. -# Compile of common_str_pkg.vhd was successful. -# Compile of common_mem_pkg.vhd was successful. -# Compile of common_field_pkg.vhd was successful. -# Compile of common_lfsr_sequences_pkg.vhd was successful. -# Compile of common_interface_layers_pkg.vhd was successful. -# Compile of common_network_layers_pkg.vhd was successful. -# Compile of common_network_total_header_pkg.vhd was successful. -# Compile of common_components_pkg.vhd was successful. -# Compile of lut_add_sub.vhd was successful. -# Compile of dsp_add_sub.vhd was successful. -# Compile of dsp_mult_add2.vhd was successful. -# Compile of dsp_mult_add4.vhd was successful. -# Compile of dsp_complex_mult.vhd was successful. -# Compile of common_async.vhd was successful. -# Compile of common_async_slv.vhd was successful. -# Compile of common_areset.vhd was successful. -# Compile of common_acapture.vhd was successful. -# Compile of common_acapture_slv.vhd was successful. -# Compile of common_pipeline.vhd was successful. -# Compile of common_pipeline_sl.vhd was successful. -# Compile of common_pipeline_integer.vhd was successful. -# Compile of common_pipeline_natural.vhd was successful. -# Compile of common_ram_crw_crw_ratio.vhd was successful. -# Compile of common_ram_cr_cw_ratio.vhd was successful. -# Compile of common_ram_crw_crw.vhd was successful. -# Compile of common_ram_crw_cr.vhd was successful. -# Compile of common_ram_crw_cw.vhd was successful. -# Compile of common_ram_cr_cw.vhd was successful. -# Compile of common_ram_rw_rw.vhd was successful. -# Compile of common_ram_r_w.vhd was successful. -# Compile of common_rom.vhd was successful. -# Compile of common_fifo_sc.vhd was successful. -# Compile of common_fifo_dc.vhd was successful. -# Compile of common_fifo_dc_mixed_widths.vhd was successful. -# Compile of common_ddio_in.vhd was successful. -# Compile of common_ddio_out.vhd was successful. -# Compile of common_wideband_data_scope.vhd was successful. -# Compile of common_inout.vhd was successful. -# Compile of common_fanout.vhd was successful. -# Compile of common_fanout_tree.vhd was successful. -# Compile of common_ddreg.vhd was successful. -# Compile of common_ddreg_slv.vhd was successful. -# Compile of common_evt.vhd was successful. -# Compile of common_flank_to_pulse.vhd was successful. -# Compile of common_toggle.vhd was successful. -# Compile of common_switch.vhd was successful. -# Compile of common_request.vhd was successful. -# Compile of common_pulse_extend.vhd was successful. -# Compile of common_spulse.vhd was successful. -# Compile of common_counter.vhd was successful. -# Compile of common_init.vhd was successful. -# Compile of common_pulser.vhd was successful. -# Compile of common_led_controller.vhd was successful. -# Compile of common_pulser_us_ms_s.vhd was successful. -# Compile of common_debounce.vhd was successful. -# Compile of common_frame_busy.vhd was successful. -# Compile of common_stable_delayed.vhd was successful. -# Compile of common_stable_monitor.vhd was successful. -# Compile of common_interval_monitor.vhd was successful. -# Compile of common_clock_active_detector.vhd was successful. -# Compile of common_clock_phase_detector.vhd was successful. -# Compile of common_resize.vhd was successful. -# Compile of common_round.vhd was successful. -# Compile of common_requantize.vhd was successful. -# Compile of common_clip.vhd was successful. -# Compile of common_pipeline_symbol.vhd was successful. -# Compile of common_shiftreg.vhd was successful. -# Compile of common_shiftreg_symbol.vhd was successful. -# Compile of common_add_symbol.vhd was successful. -# Compile of common_select_symbol.vhd was successful. -# Compile of common_select_m_symbols.vhd was successful. -# Compile of common_reorder_symbol.vhd was successful. -# Compile of common_multiplexer.vhd was successful. -# Compile of common_demultiplexer.vhd was successful. -# Compile of common_transpose_symbol.vhd was successful. -# Compile of common_transpose.vhd was successful. -# Compile of common_complex_round.vhd was successful. -# Compile of common_add_sub.vhd was successful. -# Compile of common_add_sub_a_stratix4.vhd was successful. -# Compile of common_add_sub_a_rtl.vhd was successful. -# Compile of common_complex_add_sub.vhd was successful. -# Compile of common_accumulate.vhd was successful. -# Compile of common_int2float.vhd was successful. -# Compile of common_adder_staged.vhd was successful. -# Compile of common_adder_tree.vhd was successful. -# Compile of common_adder_tree_a_recursive.vhd was successful. -# Compile of common_adder_tree_a_str.vhd was successful. -# Compile of common_operation.vhd was successful. -# Compile of common_operation_tree.vhd was successful. -# Compile of common_mult.vhd was successful. -# Compile of common_mult_a_stratix4.vhd was successful. -# Compile of common_mult_a_rtl.vhd was successful. -# Compile of common_mult_add2.vhd was successful. -# Compile of common_mult_add2_a_stratix4.vhd was successful. -# Compile of common_mult_add2_a_rtl_stratix4.vhd was successful. -# Compile of common_mult_add2_a_rtl.vhd was successful. -# Compile of common_mult_add4.vhd was successful. -# Compile of common_mult_add4_a_stratix4.vhd was successful. -# Compile of common_mult_add4_a_rtl.vhd was successful. -# Compile of common_complex_mult.vhd was successful. -# Compile of common_complex_mult_a_stratix4.vhd was successful. -# Compile of common_complex_mult_a_str_stratix4.vhd was successful. -# Compile of common_complex_mult_add.vhd was successful. -# Compile of common_complex_mult_add_parallel.vhd was successful. -# Compile of common_complex_mult_add_pipeline.vhd was successful. -# Compile of common_rl_decrease.vhd was successful. -# Compile of common_rl_increase.vhd was successful. -# Compile of common_rl_register.vhd was successful. -# Compile of common_fifo_rd.vhd was successful. -# Compile of common_blockreg.vhd was successful. -# Compile of common_fifo_dc_lock_control.vhd was successful. -# Compile of common_mem_mux.vhd was successful. -# Compile of common_mem_demux.vhd was successful. -# Compile of common_reg_cross_domain.vhd was successful. -# Compile of common_reg_r_w.vhd was successful. -# Compile of common_reg_r_w_dc.vhd was successful. -# Compile of common_interleave.vhd was successful. -# Compile of common_deinterleave.vhd was successful. -# Compile of common_reinterleave.vhd was successful. -# Compile of common_paged_reg.vhd was successful. -# Compile of common_paged_ram_crw_crw.vhd was successful. -# Compile of common_paged_ram_rw_rw.vhd was successful. -# Compile of common_paged_ram_r_w.vhd was successful. -# Compile of common_paged_ram_ww_rr.vhd was successful. -# Compile of common_paged_ram_w_rr.vhd was successful. -# Compile of common_zip.vhd was successful. -# Compile of common_duty_cycle.vhd was successful. -# Compile of common_bit_delay.vhd was successful. -# Compile of common_delay.vhd was successful. -# Compile of common_shiftram.vhd was successful. -# Compile of mms_common_reg.vhd was successful. -# Compile of mms_common_stable_monitor.vhd was successful. -# Compile of avs_common_mm.vhd was successful. -# Compile of avs_common_mm_irq.vhd was successful. -# Compile of avs_common_mm_readlatency0.vhd was successful. -# Compile of avs_common_mm_readlatency2.vhd was successful. -# Compile of avs_common_reg_r_w.vhd was successful. -# Compile of common_top.vhd was successful. -# Compile of tb_common_pkg.vhd was successful. -# Compile of tb_common_mem_pkg.vhd was successful. -# Compile of tb_common_acapture.vhd was successful. -# Compile of tb_common_add_sub.vhd was successful. -# Compile of tb_common_adder_tree.vhd was successful. -# Compile of tb_common_async.vhd was successful. -# Compile of tb_common_clock_phase_detector.vhd was successful. -# Compile of tb_common_complex_mult.vhd was successful. -# Compile of tb_common_complex_mult_add_parallel.vhd was successful. -# Compile of tb_common_complex_mult_add_pipeline.vhd was successful. -# Compile of tb_common_counter.vhd was successful. -# Compile of tb_common_ddreg.vhd was successful. -# Compile of tb_common_debounce.vhd was successful. -# Compile of tb_common_duty_cycle.vhd was successful. -# Compile of tb_common_fanout_tree.vhd was successful. -# Compile of tb_common_fifo_dc_mixed_widths.vhd was successful. -# Compile of tb_common_fifo_rd.vhd was successful. -# Compile of tb_common_flank_to_pulse.vhd was successful. -# Compile of tb_common_init.vhd was successful. -# Compile of tb_common_int2float.vhd was successful. -# Compile of tb_common_led_controller.vhd was successful. -# Compile of tb_common_mem_mux.vhd was successful. -# Compile of tb_common_mult.vhd was successful. -# Compile of tb_common_mult_add2.vhd was successful. -# Compile of tb_common_multiplexer.vhd was successful. -# Compile of tb_common_operation_tree.vhd was successful. -# Compile of tb_common_paged_ram_crw_crw.vhd was successful. -# Compile of tb_common_paged_ram_ww_rr.vhd was successful. -# Compile of tb_common_pulse_extend.vhd was successful. -# Compile of tb_common_pulser.vhd was successful. -# Compile of tb_common_pulser_us_ms_s.vhd was successful. -# Compile of tb_common_reg_cross_domain.vhd was successful. -# Compile of tb_common_reinterleave.vhd was successful. -# Compile of tb_common_reorder_symbol.vhd was successful. -# Compile of tb_common_rl.vhd was successful. -# Compile of tb_common_rl_register.vhd was successful. -# Compile of tb_common_select_m_symbols.vhd was successful. -# Compile of tb_common_shiftram.vhd was successful. -# Compile of tb_common_shiftreg.vhd was successful. -# Compile of tb_common_spulse.vhd was successful. -# Compile of tb_common_switch.vhd was successful. -# Compile of tb_common_toggle.vhd was successful. -# Compile of tb_common_transpose.vhd was successful. -# Compile of tb_common_transpose_symbol.vhd was successful. -# Compile of tb_common_zip.vhd was successful. -# Compile of tb_requantize.vhd was successful. -# Compile of tb_resize.vhd was successful. -# Compile of tb_round.vhd was successful. -# Compile of tb_tb_common_add_sub.vhd was successful. -# Compile of tb_tb_common_adder_tree.vhd was successful. -# Compile of tb_tb_common_fanout_tree.vhd was successful. -# Compile of tb_tb_common_mult.vhd was successful. -# Compile of tb_tb_common_multiplexer.vhd was successful. -# Compile of tb_tb_common_operation_tree.vhd was successful. -# Compile of tb_tb_common_paged_ram_ww_rr.vhd was successful. -# Compile of tb_tb_common_reinterleave.vhd was successful. -# Compile of tb_tb_common_reorder_symbol.vhd was successful. -# Compile of tb_tb_common_rl.vhd was successful. -# Compile of tb_tb_common_rl_register.vhd was successful. -# Compile of tb_tb_common_transpose.vhd was successful. -# 199 compiles, 0 failed with no errors. -# [mk vmake common] -# -# [mk make common] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package tb_common_pkg -# -- Compiling package body tb_common_pkg -# -- Loading package tb_common_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package common_mem_pkg -# -- Compiling package body common_mem_pkg -# -- Loading package common_mem_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling package common_components_pkg -# -- Compiling package body common_components_pkg -# -- Loading package common_components_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Compiling entity common_select_symbol -# -- Compiling architecture rtl of common_select_symbol -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_pipeline -# -- Compiling architecture rtl of common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_switch -# -- Compiling architecture rtl of common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_shiftreg -# -- Compiling architecture str of common_shiftreg -# -- Loading entity common_switch -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_shiftreg_symbol -# -- Compiling architecture str of common_shiftreg_symbol -# -- Loading entity common_shiftreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_pipeline_sl -# -- Compiling architecture str of common_pipeline_sl -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_add_symbol -# -- Compiling architecture str of common_add_symbol -# -- Loading entity common_pipeline -# -- Loading entity common_pipeline_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_transpose_symbol -# -- Compiling architecture rtl of common_transpose_symbol -# -- Loading entity common_pipeline -# -- Loading entity common_pipeline_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_transpose -# -- Compiling architecture str of common_transpose -# -- Loading entity common_shiftreg -# -- Loading entity common_transpose_symbol -# -- Loading entity common_add_symbol -# -- Loading entity common_pipeline_sl -# -- Loading entity common_shiftreg_symbol -# -- Loading entity common_pipeline -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Loading entity common_select_symbol -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_transpose -# -- Compiling architecture tb of tb_common_transpose -# -- Loading entity common_transpose -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_common_transpose -# -- Compiling architecture tb of tb_tb_common_transpose -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading entity tb_common_transpose -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package common_lfsr_sequences_pkg -# -- Compiling package body common_lfsr_sequences_pkg -# -- Loading package common_lfsr_sequences_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_rl_increase -# -- Compiling architecture rtl of common_rl_increase -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_rl_decrease -# -- Compiling architecture rtl of common_rl_decrease -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_rl_register -# -- Compiling architecture str of common_rl_register -# -- Loading entity common_rl_decrease -# -- Loading entity common_rl_increase -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_async -# -- Compiling architecture rtl of common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_areset -# -- Compiling architecture str of common_areset -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_fifo_sc -# -- Compiling architecture str of common_fifo_sc -# -- Loading entity common_areset -# -- Loading package tech_fifo_component_pkg -# -- Loading entity tech_fifo_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_rl_register -# -- Compiling architecture tb of tb_common_rl_register -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_fifo_sc -# -- Loading entity common_rl_register -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_tb_common_rl_register -# -- Compiling architecture tb of tb_tb_common_rl_register -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading entity tb_common_rl_register -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_rl -# -- Compiling architecture tb of tb_common_rl -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_fifo_sc -# -- Loading entity common_rl_decrease -# -- Loading entity common_rl_increase -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_tb_common_rl -# -- Compiling architecture tb of tb_tb_common_rl -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading entity tb_common_rl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_pipeline_natural -# -- Compiling architecture str of common_pipeline_natural -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_reorder_symbol -# -- Compiling architecture rtl of common_reorder_symbol -# -- Loading entity common_pipeline -# -- Loading entity common_pipeline_natural -# -- Loading entity common_pipeline_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_reorder_symbol -# -- Compiling architecture tb of tb_common_reorder_symbol -# -- Loading entity common_reorder_symbol -# -- Loading entity common_pipeline -# -- Loading entity common_pipeline_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_common_reorder_symbol -# -- Compiling architecture tb of tb_tb_common_reorder_symbol -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading entity tb_common_reorder_symbol -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_tb_common_reinterleave -# -- Compiling architecture rtl of tb_tb_common_reinterleave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ram_crw_crw -# -- Compiling architecture str of common_ram_crw_crw -# -- Loading package tech_memory_component_pkg -# -- Loading entity tech_memory_ram_crw_crw -# -- Loading entity tech_memory_ram_cr_cw -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ram_rw_rw -# -- Compiling architecture str of common_ram_rw_rw -# -- Loading entity common_ram_crw_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Compiling entity common_paged_ram_ww_rr -# -- Compiling architecture rtl of common_paged_ram_ww_rr -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_rw_rw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_paged_ram_w_rr -# -- Compiling architecture str of common_paged_ram_w_rr -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Loading entity common_paged_ram_ww_rr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_paged_ram_ww_rr -# -- Compiling architecture tb of tb_common_paged_ram_ww_rr -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Loading entity common_paged_ram_ww_rr -# -- Loading entity common_paged_ram_w_rr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_tb_common_paged_ram_ww_rr -# -- Compiling architecture tb of tb_tb_common_paged_ram_ww_rr -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading entity tb_common_paged_ram_ww_rr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_operation -# -- Compiling architecture rtl of common_operation -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_operation_tree -# -- Compiling architecture str of common_operation_tree -# -- Loading entity common_operation -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_operation_tree -# -- Compiling architecture tb of tb_common_operation_tree -# -- Loading entity common_pipeline -# -- Loading entity common_pipeline_sl -# -- Loading entity common_operation_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_common_operation_tree -# -- Compiling architecture tb of tb_tb_common_operation_tree -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading entity tb_common_operation_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_multiplexer -# -- Compiling architecture str of common_multiplexer -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Loading entity common_select_symbol -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Compiling entity common_demultiplexer -# -- Compiling architecture rtl of common_demultiplexer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_multiplexer -# -- Compiling architecture tb of tb_common_multiplexer -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Loading entity common_demultiplexer -# -- Loading entity common_pipeline -# -- Loading entity common_multiplexer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_tb_common_multiplexer -# -- Compiling architecture tb of tb_tb_common_multiplexer -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading entity tb_common_multiplexer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_mult -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_mult -# -- Compiling architecture tb of tb_common_mult -# -- Loading entity common_pipeline -# -- Loading entity common_mult -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_common_mult -# -- Compiling architecture tb of tb_tb_common_mult -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading entity tb_common_mult -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_fanout -# -- Compiling architecture str of common_fanout -# -- Loading entity common_pipeline_sl -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_fanout_tree -# -- Compiling architecture str of common_fanout_tree -# -- Loading entity common_fanout -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_fanout_tree -# -- Compiling architecture tb of tb_common_fanout_tree -# -- Loading entity common_fanout_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_tb_common_fanout_tree -# -- Compiling architecture tb of tb_tb_common_fanout_tree -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading entity tb_common_fanout_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_adder_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_adder_tree -# -- Compiling architecture tb of tb_common_adder_tree -# -- Loading entity common_pipeline -# -- Loading entity common_adder_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_tb_common_adder_tree -# -- Compiling architecture tb of tb_tb_common_adder_tree -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading entity tb_common_adder_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_add_sub -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_add_sub -# -- Compiling architecture tb of tb_common_add_sub -# -- Loading entity common_pipeline -# -- Loading entity common_add_sub -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_common_add_sub -# -- Compiling architecture tb of tb_tb_common_add_sub -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading entity tb_common_add_sub -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_round -# -- Compiling architecture rtl of common_round -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_round -# -- Compiling architecture tb of tb_round -# -- Loading entity common_pipeline -# -- Loading entity common_round -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_resize -# -- Compiling architecture rtl of common_resize -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_resize -# -- Compiling architecture tb of tb_resize -# -- Loading entity common_pipeline -# -- Loading entity common_resize -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_requantize -# -- Compiling architecture str of common_requantize -# -- Loading entity common_round -# -- Loading entity common_resize -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_requantize -# -- Compiling architecture tb of tb_requantize -# -- Loading entity common_pipeline -# -- Loading entity common_requantize -# -- Loading package textio -# -- Loading entity tst_output -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_zip -# -- Compiling architecture rtl of common_zip -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_zip -# -- Compiling architecture tb of tb_common_zip -# -- Loading entity common_zip -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_transpose_symbol -# -- Compiling architecture tb of tb_common_transpose_symbol -# -- Loading entity common_transpose_symbol -# -- Loading entity common_pipeline -# -- Loading entity common_pipeline_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_evt -# -- Compiling architecture rtl of common_evt -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_toggle -# -- Compiling architecture rtl of common_toggle -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading entity common_evt -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_toggle -# -- Compiling architecture tb of tb_common_toggle -# -- Loading entity common_toggle -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_switch -# -- Compiling architecture tb of tb_common_switch -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_spulse -# -- Compiling architecture rtl of common_spulse -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_spulse -# -- Compiling architecture tb of tb_common_spulse -# -- Loading entity common_areset -# -- Loading entity common_spulse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_shiftreg -# -- Compiling architecture tb of tb_common_shiftreg -# -- Loading entity common_shiftreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ram_r_w -# -- Compiling architecture str of common_ram_r_w -# -- Loading entity common_ram_rw_rw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity common_shiftram -# -- Compiling architecture rtl of common_shiftram -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_r_w -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_shiftram -# -- Compiling architecture tb of tb_common_shiftram -# -- Loading package common_mem_pkg -# -- Loading entity common_shiftram -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Compiling entity common_select_m_symbols -# -- Compiling architecture str of common_select_m_symbols -# -- Loading entity common_select_symbol -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_select_m_symbols -# -- Compiling architecture tb of tb_common_select_m_symbols -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Loading entity common_select_m_symbols -# -- Loading entity common_pipeline -# -- Loading entity common_pipeline_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_blockreg -# -- Compiling architecture str of common_blockreg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_fifo_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_interleave -# -- Compiling architecture rtl of common_interleave -# -- Loading entity common_blockreg -# -- Loading entity common_pipeline -# -- Loading entity common_multiplexer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_deinterleave -# -- Compiling architecture rtl of common_deinterleave -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Loading entity common_demultiplexer -# -- Loading entity common_shiftreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_reinterleave -# -- Compiling architecture rtl of common_reinterleave -# -- Loading entity common_deinterleave -# -- Loading entity common_interleave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_reinterleave -# -- Compiling architecture rtl of tb_common_reinterleave -# -- Loading entity common_reinterleave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity common_reg_cross_domain -# -- Compiling architecture rtl of common_reg_cross_domain -# -- Loading entity common_spulse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_reg_cross_domain -# -- Compiling architecture tb of tb_common_reg_cross_domain -# -- Loading entity common_areset -# -- Loading package common_mem_pkg -# -- Loading entity common_reg_cross_domain -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_counter -# -- Compiling architecture rtl of common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_pulser -# -- Compiling architecture rtl of common_pulser -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_pulser_us_ms_s -# -- Compiling architecture str of common_pulser_us_ms_s -# -- Loading entity common_pulser -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_pulser_us_ms_s -# -- Compiling architecture tb of tb_common_pulser_us_ms_s -# -- Loading entity common_pulser_us_ms_s -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_pulser -# -- Compiling architecture tb of tb_common_pulser -# -- Loading entity common_areset -# -- Loading entity common_pulser -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity common_pulse_extend -# -- Compiling architecture rtl of common_pulse_extend -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_pulse_extend -# -- Compiling architecture tb of tb_common_pulse_extend -# -- Loading entity common_pulse_extend -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity common_paged_ram_crw_crw -# -- Compiling architecture rtl of common_paged_ram_crw_crw -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_crw_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_paged_ram_crw_crw -# -- Compiling architecture tb of tb_common_paged_ram_crw_crw -# -- Loading package common_mem_pkg -# -- Loading entity common_paged_ram_crw_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_mult_add2 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_mult_add2 -# -- Compiling architecture tb of tb_common_mult_add2 -# -- Loading entity common_pipeline -# -- Loading entity common_mult_add2 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling package tb_common_mem_pkg -# -- Compiling package body tb_common_mem_pkg -# -- Loading package tb_common_mem_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity common_mem_mux -# -- Compiling architecture rtl of common_mem_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Compiling entity tb_common_mem_mux -# -- Compiling architecture tb of tb_common_mem_mux -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_r_w -# -- Loading entity common_mem_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_led_controller -# -- Compiling architecture rtl of common_led_controller -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_led_controller -# -- Compiling architecture tb of tb_common_led_controller -# -- Loading entity common_pulser_us_ms_s -# -- Loading entity common_toggle -# -- Loading entity common_led_controller -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_int2float -# -- Compiling architecture rtl of common_int2float -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_int2float -# -- Compiling architecture tb of tb_common_int2float -# -- Loading entity common_int2float -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_init -# -- Compiling architecture rtl of common_init -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_init -# -- Compiling architecture tb of tb_common_init -# -- Loading entity common_areset -# -- Loading entity common_init -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_flank_to_pulse -# -- Compiling architecture str of common_flank_to_pulse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_flank_to_pulse -# -- Compiling architecture tb of tb_common_flank_to_pulse -# -- Loading entity common_flank_to_pulse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_fifo_rd -# -- Compiling architecture wrap of common_fifo_rd -# -- Loading entity common_rl_decrease -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_fifo_rd -# -- Compiling architecture tb of tb_common_fifo_rd -# -- Loading entity common_fifo_rd -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_fifo_dc_mixed_widths -# -- Compiling architecture str of common_fifo_dc_mixed_widths -# -- Loading entity common_areset -# -- Loading package tech_fifo_component_pkg -# -- Loading entity tech_fifo_dc_mixed_widths -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_fifo_dc_mixed_widths -# -- Compiling architecture tb of tb_common_fifo_dc_mixed_widths -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_fifo_dc_mixed_widths -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_duty_cycle -# -- Compiling architecture rtl of common_duty_cycle -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_duty_cycle -# -- Compiling architecture tb of tb_common_duty_cycle -# -- Loading entity common_duty_cycle -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_debounce -# -- Compiling architecture rtl of common_debounce -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_debounce -# -- Compiling architecture tb of tb_common_debounce -# -- Loading entity common_debounce -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_ddreg_r -# -- Compiling architecture str of common_ddreg_r -# -- Loading entity common_async -# -- Compiling entity common_ddreg_f -# -- Compiling architecture str of common_ddreg_f -# -- Compiling entity common_ddreg_fr -# -- Compiling architecture str of common_ddreg_fr -# -- Compiling entity common_ddreg -# -- Compiling architecture str of common_ddreg -# -- Loading entity common_ddreg_r -# -- Loading entity common_ddreg_f -# -- Loading entity common_ddreg_fr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_ddreg_slv -# -- Compiling architecture str of common_ddreg_slv -# -- Loading entity common_ddreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_ddreg_slv -# -- Compiling architecture tb of tb_common_ddreg_slv -# -- Loading entity common_ddreg_slv -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_counter -# -- Compiling architecture tb of tb_common_counter -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_complex_mult -# -- Compiling architecture str of common_complex_mult -# -- Loading entity common_mult_add2 -# -- Loading entity common_pipeline_sl -# -- Compiling architecture rtl of common_complex_mult -# -- Loading entity common_complex_mult -# -- Loading entity common_pipeline -# -- Compiling architecture rtl_dsp of common_complex_mult -# -- Loading entity common_complex_mult -# -- Compiling architecture altera_rtl of common_complex_mult -# -- Loading entity common_complex_mult -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_complex_mult_add -# -- Compiling architecture str of common_complex_mult_add -# -- Loading entity common_complex_mult -# -- Loading entity common_add_sub -# -- Compiling architecture rtl of common_complex_mult_add -# -- Loading entity common_complex_mult_add -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_complex_mult_add_pipeline -# -- Compiling architecture rtl of common_complex_mult_add_pipeline -# -- Loading entity common_complex_mult_add -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_complex_mult_add_pipeline -# -- Compiling architecture tb of tb_common_complex_mult_add_pipeline -# -- Loading entity common_complex_mult_add_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_complex_mult_add_parallel -# -- Compiling architecture str of common_complex_mult_add_parallel -# -- Loading entity common_complex_mult -# -- Loading entity common_adder_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_complex_mult_add_parallel -# -- Compiling architecture tb of tb_common_complex_mult_add_parallel -# -- Loading entity common_pipeline -# -- Loading entity common_complex_mult_add_parallel -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_complex_mult -# -- Compiling architecture tb of tb_common_complex_mult -# -- Loading entity common_pipeline -# -- Loading entity common_pipeline_sl -# -- Loading entity common_complex_mult -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_clock_phase_detector -# -- Compiling architecture str of common_clock_phase_detector -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_clock_phase_detector -# -- Compiling architecture tb of tb_common_clock_phase_detector -# -- Loading entity common_clock_phase_detector -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_async -# -- Compiling architecture tb of tb_common_async -# -- Loading entity common_async -# -- Loading entity common_areset -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_acapture -# -- Compiling architecture str of common_acapture -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_acapture -# -- Compiling architecture tb of tb_common_acapture -# -- Loading entity common_acapture -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_stable_monitor -# -- Compiling architecture rtl of common_stable_monitor -# -- Loading entity common_evt -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity common_reg_r_w -# -- Compiling architecture rtl of common_reg_r_w -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity common_reg_r_w_dc -# -- Compiling architecture str of common_reg_r_w_dc -# -- Loading entity common_reg_r_w -# -- Loading entity common_reg_cross_domain -# -- Loading entity common_spulse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity mms_common_stable_monitor -# -- Compiling architecture str of mms_common_stable_monitor -# -- Loading entity common_reg_r_w_dc -# -- Loading entity common_stable_monitor -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity mms_common_reg -# -- Compiling architecture str of mms_common_reg -# -- Loading entity common_reg_r_w_dc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity lut_add_sub -# -- Compiling architecture syn of lut_add_sub -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity dsp_mult_add4 -# -- Compiling architecture syn of dsp_mult_add4 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity dsp_mult_add2 -# -- Compiling architecture syn of dsp_mult_add2 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity dsp_complex_mult_altmult_complex_0vp -# -- Compiling architecture rtl of dsp_complex_mult_altmult_complex_0vp -# -- Compiling entity dsp_complex_mult -# -- Compiling architecture rtl of dsp_complex_mult -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity dsp_add_sub -# -- Compiling architecture syn of dsp_add_sub -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_wideband_data_scope -# -- Compiling architecture beh of common_wideband_data_scope -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_mult_add4 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_top -# -- Compiling architecture str of common_top -# -- Loading entity common_pipeline -# -- Loading entity common_mult_add2 -# -- Loading entity common_mult_add4 -# -- Loading entity common_complex_mult -# -- Loading entity common_mult -# -- Loading entity common_add_sub -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_fifo_sc -# -- Loading entity common_acapture -# -- Loading entity common_ddreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package common_str_pkg -# -- Compiling package body common_str_pkg -# -- Loading package common_str_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_stable_delayed -# -- Compiling architecture rtl of common_stable_delayed -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_rom -# -- Compiling architecture str of common_rom -# -- Loading entity common_ram_r_w -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_request -# -- Compiling architecture rtl of common_request -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ram_crw_cw -# -- Compiling architecture str of common_ram_crw_cw -# -- Loading entity common_ram_crw_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ram_crw_crw_ratio -# -- Compiling architecture str of common_ram_crw_crw_ratio -# -- Loading package tech_memory_component_pkg -# -- Loading entity tech_memory_ram_crwk_crw -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ram_crw_cr -# -- Compiling architecture str of common_ram_crw_cr -# -- Loading entity common_ram_crw_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ram_cr_cw_ratio -# -- Compiling architecture str of common_ram_cr_cw_ratio -# -- Loading entity common_ram_crw_crw_ratio -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ram_cr_cw -# -- Compiling architecture str of common_ram_cr_cw -# -- Loading entity common_ram_crw_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_pipeline_symbol -# -- Compiling architecture str of common_pipeline_symbol -# -- Loading entity common_pipeline -# -- Loading entity common_pipeline_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_pipeline_integer -# -- Compiling architecture str of common_pipeline_integer -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_paged_reg -# -- Compiling architecture str of common_paged_reg -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_paged_ram_rw_rw -# -- Compiling architecture str of common_paged_ram_rw_rw -# -- Loading package common_mem_pkg -# -- Loading entity common_paged_ram_crw_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_paged_ram_r_w -# -- Compiling architecture str of common_paged_ram_r_w -# -- Loading entity common_paged_ram_rw_rw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package common_network_layers_pkg -# -- Compiling package body common_network_layers_pkg -# -- Loading package common_network_layers_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Compiling package common_network_total_header_pkg -# -- Compiling package body common_network_total_header_pkg -# -- Loading package common_network_total_header_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture stratix4 of common_mult_add4 -# -- Loading entity common_mult_add4 -# -- Loading entity dsp_mult_add4 -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture rtl of common_mult_add4 -# -- Loading entity common_mult_add4 -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture stratix4 of common_mult_add2 -# -- Loading entity common_mult_add2 -# -- Loading entity dsp_mult_add2 -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture rtl_stratix4 of common_mult_add2 -# -- Loading entity common_mult_add2 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture rtl of common_mult_add2 -# -- Loading entity common_mult_add2 -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package lpm_components -# -- Compiling architecture stratix4 of common_mult -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading entity common_mult -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture rtl of common_mult -# -- Loading entity common_mult -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity common_mem_demux -# -- Compiling architecture rtl of common_mem_demux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_interval_monitor -# -- Compiling architecture rtl of common_interval_monitor -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package common_interface_layers_pkg -# -- Compiling package body common_interface_layers_pkg -# -- Loading package common_interface_layers_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_inout -# -- Compiling architecture rtl of common_inout -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_frame_busy -# -- Compiling architecture str of common_frame_busy -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_fifo_dc_lock_control -# -- Compiling architecture rtl of common_fifo_dc_lock_control -# -- Loading entity common_counter -# -- Loading entity common_stable_monitor -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_fifo_dc -# -- Compiling architecture str of common_fifo_dc -# -- Loading entity common_areset -# -- Loading package tech_fifo_component_pkg -# -- Loading entity tech_fifo_dc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Compiling package common_field_pkg -# -- Compiling package body common_field_pkg -# -- Loading package common_field_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_delay -# -- Compiling architecture rtl of common_delay -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ddio_out -# -- Compiling architecture str of common_ddio_out -# -- Loading package tech_iobuf_component_pkg -# -- Loading entity tech_iobuf_ddio_out -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ddio_in -# -- Compiling architecture str of common_ddio_in -# -- Loading package tech_iobuf_component_pkg -# -- Loading entity tech_iobuf_ddio_in -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_complex_round -# -- Compiling architecture str of common_complex_round -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading entity common_round -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture stratix4 of common_complex_mult -# -- Loading entity common_complex_mult -# -- Loading entity common_pipeline_sl -# -- Loading entity dsp_complex_mult -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture str_stratix4 of common_complex_mult -# -- Loading entity common_complex_mult -# -- Loading entity common_mult_add2 -# -- Loading entity common_pipeline_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_complex_add_sub -# -- Compiling architecture str of common_complex_add_sub -# -- Loading entity common_add_sub -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_clock_active_detector -# -- Compiling architecture str of common_clock_active_detector -# -- Loading entity common_counter -# -- Loading entity common_async -# -- Loading entity common_evt -# -- Loading entity common_stable_monitor -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_clip -# -- Compiling architecture rtl of common_clip -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_bit_delay -# -- Compiling architecture rtl of common_bit_delay -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_async_slv -# -- Compiling architecture str of common_async_slv -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture recursive of common_adder_tree -# -- Loading entity common_adder_tree -# -- Loading entity common_pipeline -# -- Loading entity common_add_sub -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture str of common_adder_tree -# -- Loading entity common_adder_tree -# -- Loading entity common_add_sub -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_adder_staged -# -- Compiling architecture str of common_adder_staged -# -- Loading entity common_pipeline -# -- Loading entity common_add_sub -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture stratix4 of common_add_sub -# -- Loading entity common_add_sub -# -- Loading entity lut_add_sub -# -- Loading entity dsp_add_sub -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture rtl of common_add_sub -# -- Loading entity common_add_sub -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_accumulate -# -- Compiling architecture rtl of common_accumulate -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_acapture_slv -# -- Compiling architecture str of common_acapture_slv -# -- Loading entity common_acapture -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity avs_common_reg_r_w -# -- Compiling architecture wrap of avs_common_reg_r_w -# -- Loading entity common_reg_r_w -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity avs_common_mm_readlatency2 -# -- Compiling architecture wrap of avs_common_mm_readlatency2 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity avs_common_mm_readlatency0 -# -- Compiling architecture wrap of avs_common_mm_readlatency0 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity avs_common_mm_irq -# -- Compiling architecture wrap of avs_common_mm_irq -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity avs_common_mm -# -- Compiling architecture wrap of avs_common_mm -# [mk compile mm] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project mm -# Compile of mm_fields.vhd was successful. -# Compile of mm_file_pkg.vhd was successful. -# Compile of mm_file_unb_pkg.vhd was successful. -# Compile of mm_file.vhd was successful. -# Compile of dummy_reg.vhd was successful. -# Compile of tb_mm_file.vhd was successful. -# 6 compiles, 0 failed with no errors. -# [mk vmake mm] -# -# [mk make mm] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity dummy_reg -# -- Compiling architecture rtl of dummy_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_str_pkg -# -- Compiling package mm_file_pkg -# -- Compiling package body mm_file_pkg -# -- Loading package mm_file_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_str_pkg -# -- Loading package mm_file_pkg -# -- Compiling entity mm_file -# -- Compiling architecture str of mm_file -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity tb_mm_file -# -- Compiling architecture tb of tb_mm_file -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_str_pkg -# -- Loading package mm_file_pkg -# -- Loading entity mm_file -# -- Loading entity dummy_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Compiling package mm_file_unb_pkg -# -- Compiling package body mm_file_unb_pkg -# -- Loading package mm_file_unb_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Compiling entity mm_fields -# -- Compiling architecture str of mm_fields -# -- Loading entity common_reg_r_w_dc -# [mk compile easics] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project easics -# Compile of PCK_CRC64_D8.vhd was successful. -# Compile of PCK_CRC64_D16.vhd was successful. -# Compile of PCK_CRC64_D32.vhd was successful. -# Compile of PCK_CRC64_D64.vhd was successful. -# Compile of PCK_CRC64_D72.vhd was successful. -# Compile of PCK_CRC64_D128.vhd was successful. -# Compile of PCK_CRC64_D256.vhd was successful. -# Compile of PCK_CRC64_D512.vhd was successful. -# Compile of PCK_CRC64_D1024.vhd was successful. -# Compile of PCK_CRC32_D4.vhd was successful. -# Compile of PCK_CRC32_D8.vhd was successful. -# Compile of PCK_CRC32_D9.vhd was successful. -# Compile of PCK_CRC32_D10.vhd was successful. -# Compile of PCK_CRC32_D16.vhd was successful. -# Compile of PCK_CRC32_D18.vhd was successful. -# Compile of PCK_CRC32_D20.vhd was successful. -# Compile of PCK_CRC32_D24.vhd was successful. -# Compile of PCK_CRC32_D32.vhd was successful. -# Compile of PCK_CRC32_D36.vhd was successful. -# Compile of PCK_CRC32_D40.vhd was successful. -# Compile of PCK_CRC32_D48.vhd was successful. -# Compile of PCK_CRC32_D64.vhd was successful. -# Compile of PCK_CRC32_D72.vhd was successful. -# Compile of PCK_CRC32_D128.vhd was successful. -# Compile of PCK_CRC32_D256.vhd was successful. -# Compile of PCK_CRC32_D512.vhd was successful. -# Compile of PCK_CRC32_D1024.vhd was successful. -# Compile of PCK_CRC16_D4.vhd was successful. -# Compile of PCK_CRC16_D8.vhd was successful. -# Compile of PCK_CRC16_D9.vhd was successful. -# Compile of PCK_CRC16_D10.vhd was successful. -# Compile of PCK_CRC16_D16.vhd was successful. -# Compile of PCK_CRC16_D18.vhd was successful. -# Compile of PCK_CRC16_D20.vhd was successful. -# Compile of PCK_CRC16_D24.vhd was successful. -# Compile of PCK_CRC16_D32.vhd was successful. -# Compile of PCK_CRC16_D36.vhd was successful. -# Compile of PCK_CRC16_D48.vhd was successful. -# Compile of PCK_CRC16_D64.vhd was successful. -# Compile of PCK_CRC16_D72.vhd was successful. -# Compile of PCK_CRC8_D4.vhd was successful. -# Compile of PCK_CRC8_D8.vhd was successful. -# Compile of PCK_CRC8_D9.vhd was successful. -# Compile of PCK_CRC8_D10.vhd was successful. -# Compile of PCK_CRC8_D16.vhd was successful. -# Compile of PCK_CRC8_D18.vhd was successful. -# Compile of PCK_CRC8_D20.vhd was successful. -# Compile of PCK_CRC8_D24.vhd was successful. -# Compile of PCK_CRC8_D32.vhd was successful. -# Compile of PCK_CRC8_D36.vhd was successful. -# Compile of PCK_CRC8_D48.vhd was successful. -# Compile of PCK_CRC8_D64.vhd was successful. -# Compile of PCK_CRC8_D72.vhd was successful. -# Compile of RAD_CRC20_D20.vhd was successful. -# Compile of RAD_CRC16_D16.vhd was successful. -# Compile of RAD_CRC18_D18.vhd was successful. -# 56 compiles, 0 failed with no errors. -# [mk vmake easics] -# -# [mk make easics] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package rad_crc20_d20 -# -- Compiling package body rad_crc20_d20 -# -- Loading package rad_crc20_d20 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package rad_crc18_d18 -# -- Compiling package body rad_crc18_d18 -# -- Loading package rad_crc18_d18 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package rad_crc16_d16 -# -- Compiling package body rad_crc16_d16 -# -- Loading package rad_crc16_d16 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d9 -# -- Compiling package body pck_crc8_d9 -# -- Loading package pck_crc8_d9 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d8 -# -- Compiling package body pck_crc8_d8 -# -- Loading package pck_crc8_d8 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d72 -# -- Compiling package body pck_crc8_d72 -# -- Loading package pck_crc8_d72 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d64 -# -- Compiling package body pck_crc8_d64 -# -- Loading package pck_crc8_d64 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d48 -# -- Compiling package body pck_crc8_d48 -# -- Loading package pck_crc8_d48 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d4 -# -- Compiling package body pck_crc8_d4 -# -- Loading package pck_crc8_d4 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d36 -# -- Compiling package body pck_crc8_d36 -# -- Loading package pck_crc8_d36 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d32 -# -- Compiling package body pck_crc8_d32 -# -- Loading package pck_crc8_d32 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d24 -# -- Compiling package body pck_crc8_d24 -# -- Loading package pck_crc8_d24 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d20 -# -- Compiling package body pck_crc8_d20 -# -- Loading package pck_crc8_d20 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d18 -# -- Compiling package body pck_crc8_d18 -# -- Loading package pck_crc8_d18 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d16 -# -- Compiling package body pck_crc8_d16 -# -- Loading package pck_crc8_d16 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d10 -# -- Compiling package body pck_crc8_d10 -# -- Loading package pck_crc8_d10 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc64_d8 -# -- Compiling package body pck_crc64_d8 -# -- Loading package pck_crc64_d8 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc64_d72 -# -- Compiling package body pck_crc64_d72 -# -- Loading package pck_crc64_d72 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc64_d64 -# -- Compiling package body pck_crc64_d64 -# -- Loading package pck_crc64_d64 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc64_d512 -# -- Compiling package body pck_crc64_d512 -# -- Loading package pck_crc64_d512 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc64_d32 -# -- Compiling package body pck_crc64_d32 -# -- Loading package pck_crc64_d32 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc64_d256 -# -- Compiling package body pck_crc64_d256 -# -- Loading package pck_crc64_d256 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc64_d16 -# -- Compiling package body pck_crc64_d16 -# -- Loading package pck_crc64_d16 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc64_d128 -# -- Compiling package body pck_crc64_d128 -# -- Loading package pck_crc64_d128 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc64_d1024 -# -- Compiling package body pck_crc64_d1024 -# -- Loading package pck_crc64_d1024 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d9 -# -- Compiling package body pck_crc32_d9 -# -- Loading package pck_crc32_d9 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d8 -# -- Compiling package body pck_crc32_d8 -# -- Loading package pck_crc32_d8 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d72 -# -- Compiling package body pck_crc32_d72 -# -- Loading package pck_crc32_d72 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d64 -# -- Compiling package body pck_crc32_d64 -# -- Loading package pck_crc32_d64 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d512 -# -- Compiling package body pck_crc32_d512 -# -- Loading package pck_crc32_d512 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d48 -# -- Compiling package body pck_crc32_d48 -# -- Loading package pck_crc32_d48 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d40 -# -- Compiling package body pck_crc32_d40 -# -- Loading package pck_crc32_d40 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d4 -# -- Compiling package body pck_crc32_d4 -# -- Loading package pck_crc32_d4 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d36 -# -- Compiling package body pck_crc32_d36 -# -- Loading package pck_crc32_d36 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d32 -# -- Compiling package body pck_crc32_d32 -# -- Loading package pck_crc32_d32 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d256 -# -- Compiling package body pck_crc32_d256 -# -- Loading package pck_crc32_d256 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d24 -# -- Compiling package body pck_crc32_d24 -# -- Loading package pck_crc32_d24 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d20 -# -- Compiling package body pck_crc32_d20 -# -- Loading package pck_crc32_d20 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d18 -# -- Compiling package body pck_crc32_d18 -# -- Loading package pck_crc32_d18 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d16 -# -- Compiling package body pck_crc32_d16 -# -- Loading package pck_crc32_d16 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d128 -# -- Compiling package body pck_crc32_d128 -# -- Loading package pck_crc32_d128 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d1024 -# -- Compiling package body pck_crc32_d1024 -# -- Loading package pck_crc32_d1024 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d10 -# -- Compiling package body pck_crc32_d10 -# -- Loading package pck_crc32_d10 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d9 -# -- Compiling package body pck_crc16_d9 -# -- Loading package pck_crc16_d9 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d8 -# -- Compiling package body pck_crc16_d8 -# -- Loading package pck_crc16_d8 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d72 -# -- Compiling package body pck_crc16_d72 -# -- Loading package pck_crc16_d72 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d64 -# -- Compiling package body pck_crc16_d64 -# -- Loading package pck_crc16_d64 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d48 -# -- Compiling package body pck_crc16_d48 -# -- Loading package pck_crc16_d48 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d4 -# -- Compiling package body pck_crc16_d4 -# -- Loading package pck_crc16_d4 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d36 -# -- Compiling package body pck_crc16_d36 -# -- Loading package pck_crc16_d36 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d32 -# -- Compiling package body pck_crc16_d32 -# -- Loading package pck_crc16_d32 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d24 -# -- Compiling package body pck_crc16_d24 -# -- Loading package pck_crc16_d24 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d20 -# -- Compiling package body pck_crc16_d20 -# -- Loading package pck_crc16_d20 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d18 -# -- Compiling package body pck_crc16_d18 -# -- Loading package pck_crc16_d18 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d16 -# -- Compiling package body pck_crc16_d16 -# -- Loading package pck_crc16_d16 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d10 -# -- Compiling package body pck_crc16_d10 -# -- Loading package pck_crc16_d10 -# [mk compile dp] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project dp -# Compile of dp_stream_pkg.vhd was successful. -# Compile of dp_example_dut.vhd was successful. -# Compile of dp_packetizing_pkg.vhd was successful. -# Compile of dp_packet_pkg.vhd was successful. -# Compile of dp_eop_extend.vhd was successful. -# Compile of dp_validate.vhd was successful. -# Compile of dp_ready.vhd was successful. -# Compile of dp_frame_busy.vhd was successful. -# Compile of dp_frame_busy_arr.vhd was successful. -# Compile of dp_xonoff.vhd was successful. -# Compile of dp_flush.vhd was successful. -# Compile of dp_latency_increase.vhd was successful. -# Compile of dp_latency_adapter.vhd was successful. -# Compile of dp_latency_fifo.vhd was successful. -# Compile of dp_hold_data.vhd was successful. -# Compile of dp_hold_ctrl.vhd was successful. -# Compile of dp_hold_input.vhd was successful. -# Compile of dp_pipeline.vhd was successful. -# Compile of dp_pipeline_arr.vhd was successful. -# Compile of dp_pipeline_ready.vhd was successful. -# Compile of dp_paged_sop_eop_reg.vhd was successful. -# Compile of dp_packet_detect.vhd was successful. -# Compile of dp_shiftreg.vhd was successful. -# Compile of dp_fifo_info.vhd was successful. -# Compile of dp_fifo_core.vhd was successful. -# Compile of dp_fifo_sc.vhd was successful. -# Compile of dp_fifo_fill.vhd was successful with warnings. -# Compile of dp_fifo_dc.vhd was successful. -# Compile of dp_fifo_dc_mixed_widths.vhd was successful. -# Compile of dp_fifo_fill_core.vhd was successful. -# Compile of dp_fifo_fill_sc.vhd was successful. -# Compile of dp_fifo_fill_dc.vhd was successful. -# Compile of dp_fifo_to_mm.vhd was successful. -# Compile of dp_fifo_to_mm_reg.vhd was successful. -# Compile of dp_fifo_from_mm.vhd was successful. -# Compile of dp_fifo_from_mm_reg.vhd was successful. -# Compile of mms_dp_fifo_to_mm.vhd was successful. -# Compile of mms_dp_fifo_from_mm.vhd was successful. -# Compile of dp_mux.vhd was successful with warnings. -# Compile of dp_demux.vhd was successful with warnings. -# Compile of dp_loopback.vhd was successful. -# Compile of dp_concat.vhd was successful. -# Compile of dp_split.vhd was successful. -# Compile of dp_split_reg.vhd was successful. -# Compile of mms_dp_split.vhd was successful. -# Compile of dp_pad_insert.vhd was successful. -# Compile of dp_pad_remove.vhd was successful. -# Compile of dp_block_gen.vhd was successful. -# Compile of dp_bsn_source.vhd was successful. -# Compile of dp_bsn_source_reg.vhd was successful. -# Compile of mms_dp_bsn_source.vhd was successful. -# Compile of dp_bsn_scheduler.vhd was successful. -# Compile of dp_bsn_scheduler_reg.vhd was successful. -# Compile of mms_dp_bsn_scheduler.vhd was successful. -# Compile of dp_bsn_delay.vhd was successful. -# Compile of dp_bsn_align.vhd was successful. -# Compile of dp_frame_rd.vhd was successful. -# Compile of dp_frame_fsn.vhd was successful. -# Compile of dp_frame_tx.vhd was successful. -# Compile of dp_frame_rx.vhd was successful. -# Compile of dp_frame_status.vhd was successful. -# Compile of dp_frame.vhd was successful. -# Compile of dp_unframe.vhd was successful. -# Compile of dp_repack.vhd was successful. -# Compile of dp_repack_data.vhd was successful. -# Compile of dp_frame_repack.vhd was successful. -# Compile of dp_frame_scheduler.vhd was successful. -# Compile of dp_packet_enc.vhd was successful. -# Compile of dp_packet_enc_channel_lo.vhd was successful. -# Compile of dp_packet_dec.vhd was successful. -# Compile of dp_packet_dec_channel_lo.vhd was successful. -# Compile of dp_gap.vhd was successful. -# Compile of dp_mon.vhd was successful. -# Compile of dp_bsn_monitor.vhd was successful. -# Compile of dp_bsn_monitor_reg.vhd was successful. -# Compile of mms_dp_bsn_monitor.vhd was successful. -# Compile of dp_distribute.vhd was successful. -# Compile of dp_ram_from_mm.vhd was successful. -# Compile of dp_ram_from_mm_reg.vhd was successful. -# Compile of mms_dp_ram_from_mm.vhd was successful. -# Compile of dp_ram_to_mm.vhd was successful. -# Compile of dp_hdr_insert.vhd was successful. -# Compile of dp_hdr_remove.vhd was successful. -# Compile of dp_tail_remove.vhd was successful. -# Compile of dp_frame_remove.vhd was successful. -# Compile of dp_throttle.vhd was successful. -# Compile of dp_throttle_reg.vhd was successful. -# Compile of mms_dp_throttle.vhd was successful. -# Compile of dp_packet_merge.vhd was successful. -# Compile of mms_dp_packet_merge.vhd was successful. -# Compile of dp_packet_unmerge.vhd was successful. -# Compile of dp_offload_tx_legacy.vhd was successful. -# Compile of dp_offload_tx_len_calc.vhd was successful. -# Compile of dp_field_blk.vhd was successful. -# Compile of dp_offload_tx.vhd was successful. -# Compile of dp_offload_rx.vhd was successful. -# Compile of dp_deinterleave.vhd was successful. -# Compile of dp_reinterleave.vhd was successful. -# Compile of dp_requantize.vhd was successful. -# Compile of dp_wideband_sp_arr_scope.vhd was successful. -# Compile of dp_wideband_wb_arr_scope.vhd was successful. -# Compile of dp_throttle_sop.vhd was successful. -# Compile of dp_barrel_shift.vhd was successful. -# Compile of dp_shiftram.vhd was successful. -# Compile of dp_src_out_timer.vhd was successful. -# Compile of dp_sync_checker.vhd was successful. -# Compile of dp_stream_player.vhd was successful. -# Compile of dp_stream_recorder.vhd was successful. -# Compile of dp_stream_rec_play.vhd was successful. -# Compile of dp_top.vhd was successful. -# Compile of tb_dp_pkg.vhd was successful. -# Compile of dp_phy_link.vhd was successful. -# Compile of dp_stream_stimuli.vhd was successful. -# Compile of dp_stream_verify.vhd was successful. -# Compile of tb_dp_block_gen.vhd was successful. -# Compile of tb_dp_bsn_align.vhd was successful. -# Compile of tb_dp_bsn_monitor.vhd was successful. -# Compile of tb_dp_bsn_source.vhd was successful. -# Compile of tb_dp_demux.vhd was successful. -# Compile of tb2_dp_demux.vhd was successful. -# Compile of tb3_dp_demux.vhd was successful. -# Compile of tb_dp_concat.vhd was successful. -# Compile of tb_dp_deinterleave.vhd was successful. -# Compile of tb_dp_distribute.vhd was successful. -# Compile of tb_dp_example_dut.vhd was successful. -# Compile of tb_dp_fifo_fill.vhd was successful. -# Compile of tb_dp_fifo_fill_sc.vhd was successful. -# Compile of tb_dp_fifo_info.vhd was successful. -# Compile of tb_dp_fifo_dc.vhd was successful. -# Compile of tb_dp_fifo_dc_mixed_widths.vhd was successful. -# Compile of tb_dp_fifo_sc.vhd was successful. -# Compile of tb_dp_fifo_to_mm.vhd was successful. -# Compile of tb_dp_flush.vhd was successful. -# Compile of tb_dp_gap.vhd was successful. -# Compile of tb_dp_hdr_insert_remove.vhd was successful. -# Compile of tb_dp_frame_rd.vhd was successful. -# Compile of tb_dp_frame_scheduler.vhd was successful. -# Compile of tb_dp_latency_adapter.vhd was successful. -# Compile of tb_dp_latency_fifo.vhd was successful. -# Compile of tb_dp_mux.vhd was successful with warnings. -# Compile of tb2_dp_mux.vhd was successful. -# Compile of tb3_dp_mux.vhd was successful. -# Compile of tb_dp_packet.vhd was successful. -# Compile of tb_dp_packet_merge.vhd was successful. -# Compile of tb_dp_packetizing.vhd was successful. -# Compile of tb_dp_pad_insert_remove.vhd was successful. -# Compile of tb_dp_pipeline.vhd was successful. -# Compile of tb_dp_pipeline_ready.vhd was successful. -# Compile of tb_dp_reinterleave.vhd was successful. -# Compile of tb_dp_repack.vhd was successful. -# Compile of tb_dp_repack_data.vhd was successful. -# Compile of tb_dp_shiftreg.vhd was successful. -# Compile of tb_dp_split.vhd was successful. -# Compile of tb_dp_tail_remove.vhd was successful. -# Compile of tb_dp_throttle_sop.vhd was successful. -# Compile of tb_mms_dp_fields.vhd was successful. -# Compile of tb_dp_sync_checker.vhd was successful. -# Compile of tb_dp_xonoff.vhd was successful. -# Compile of tb_tb_dp_block_gen.vhd was successful. -# Compile of tb_tb_dp_bsn_align.vhd was successful. -# Compile of tb_tb_dp_concat.vhd was successful. -# Compile of tb_tb_dp_demux.vhd was successful. -# Compile of tb_tb2_dp_demux.vhd was successful. -# Compile of tb_tb3_dp_demux.vhd was successful. -# Compile of tb_tb_dp_distribute.vhd was successful. -# Compile of tb_tb_dp_example_dut.vhd was successful. -# Compile of tb_tb_dp_flush.vhd was successful. -# Compile of tb_tb_dp_fifo_info.vhd was successful. -# Compile of tb_tb_dp_fifo_sc.vhd was successful. -# Compile of tb_tb_dp_fifo_fill.vhd was successful. -# Compile of tb_tb_dp_fifo_fill_sc.vhd was successful. -# Compile of tb_tb_dp_fifo_dc.vhd was successful. -# Compile of tb_tb_dp_fifo_dc_mixed_widths.vhd was successful. -# Compile of tb_tb_dp_frame_scheduler.vhd was successful. -# Compile of tb_tb_dp_latency_fifo.vhd was successful. -# Compile of tb_tb_dp_mux.vhd was successful. -# Compile of tb_tb2_dp_mux.vhd was successful. -# Compile of tb_tb3_dp_mux.vhd was successful. -# Compile of tb_tb_dp_pad_insert_remove.vhd was successful. -# Compile of tb_tb_dp_packetizing.vhd was successful. -# Compile of tb_tb_dp_packet.vhd was successful. -# Compile of tb_tb_dp_packet_merge.vhd was successful. -# Compile of tb_tb_dp_pipeline.vhd was successful. -# Compile of tb_tb_dp_pipeline_ready.vhd was successful. -# Compile of tb_tb_dp_repack_data.vhd was successful. -# Compile of tb_tb_dp_split.vhd was successful. -# Compile of tb_tb_dp_sync_checker.vhd was successful. -# Compile of tb_tb_tb_dp_backpressure.vhd was successful. -# 188 compiles, 0 failed with no errors. -# [mk vmake dp] -# -# [mk make dp] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package dp_stream_pkg -# -- Compiling package body dp_stream_pkg -# -- Loading package dp_stream_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_frame_busy -# -- Compiling architecture str of dp_frame_busy -# -- Loading entity common_switch -# -- Loading entity common_pipeline_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_frame_busy_arr -# -- Compiling architecture str of dp_frame_busy_arr -# -- Loading entity dp_frame_busy -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity dp_hold_ctrl -# -- Compiling architecture rtl of dp_hold_ctrl -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_hold_input -# -- Compiling architecture rtl of dp_hold_input -# -- Loading entity dp_hold_ctrl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_latency_increase -# -- Compiling architecture rtl of dp_latency_increase -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_latency_adapter -# -- Compiling architecture rtl of dp_latency_adapter -# -- Loading entity dp_latency_increase -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_core -# -- Compiling architecture str of dp_fifo_core -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_fifo_sc -# -- Loading entity common_fifo_dc -# -- Loading entity dp_latency_adapter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_sc -# -- Compiling architecture str of dp_fifo_sc -# -- Loading entity dp_fifo_core -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_fill -# -- Compiling architecture rtl of dp_fifo_fill -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_hold_input -# ** Warning: [5] /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_fifo_fill.vhd(114): Nonresolved signal 'nxt_state' may have multiple sources. -# Drivers: -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_fifo_fill.vhd(195):Process p_state -# Driven at: -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_fifo_fill.vhd(197) -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_fifo_fill.vhd(275):Process p_state -# Driven at: -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_fifo_fill.vhd(277) -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_mux -# -- Compiling architecture rtl of dp_mux -# -- Loading entity dp_fifo_fill -# -- Loading entity dp_hold_input -# -- Loading entity dp_frame_busy_arr -# ** Warning: [5] /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(141): Nonresolved signal 'nxt_state' may have multiple sources. -# Drivers: -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(312):Process p_state -# Driven at: -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(314) -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(350):Process p_state -# Driven at: -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(356) -# ** Warning: [5] /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(151): Nonresolved signal 'nxt_in_sel' may have multiple sources. -# Drivers: -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(282):Conditional signal assignment line__282 -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(312):Process p_state -# Driven at: -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(315) -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(350):Process p_state -# Driven at: -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(354) -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling package tb_dp_pkg -# -- Compiling package body tb_dp_pkg -# -- Loading package tb_dp_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb3_dp_mux -# -- Compiling architecture tb of tb3_dp_mux -# -- Loading entity dp_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb3_dp_mux -# -- Compiling architecture tb of tb_tb3_dp_mux -# -- Loading entity tb3_dp_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_packet_detect -# -- Compiling architecture str of dp_packet_detect -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_demux -# -- Compiling architecture rtl of dp_demux -# -- Loading entity dp_packet_detect -# -- Loading entity dp_latency_adapter -# -- Loading entity dp_latency_increase -# ** Warning: [5] /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_demux.vhd(94): Nonresolved signal 'output_select' may have multiple sources. -# Drivers: -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_demux.vhd(119):Conditional signal assignment line__119 -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_demux.vhd(124):Process p_clk -# Driven at: -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_demux.vhd(127) -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_demux.vhd(145):Process p_clk -# Driven at: -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_demux.vhd(148) -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_demux.vhd(165):Process p_select -# Driven at: -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_demux.vhd(167) -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb3_dp_demux -# -- Compiling architecture tb of tb3_dp_demux -# -- Loading entity dp_demux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb3_dp_demux -# -- Compiling architecture tb of tb_tb3_dp_demux -# -- Loading entity tb3_dp_demux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb2_dp_mux -# -- Compiling architecture tb of tb2_dp_mux -# -- Loading entity dp_mux -# -- Loading entity dp_demux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb2_dp_mux -# -- Compiling architecture tb of tb_tb2_dp_mux -# -- Loading entity tb2_dp_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb2_dp_demux -# -- Compiling architecture tb of tb2_dp_demux -# -- Loading entity dp_demux -# -- Loading entity dp_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb2_dp_demux -# -- Compiling architecture tb of tb_tb2_dp_demux -# -- Loading entity tb2_dp_demux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_split -# -- Compiling architecture rtl of dp_split -# -- Loading entity dp_hold_input -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_split -# -- Compiling architecture tb of tb_dp_split -# -- Loading entity dp_split -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_split -# -- Compiling architecture tb of tb_tb_dp_split -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_dp_split -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_repack_in -# -- Compiling architecture rtl of dp_repack_in -# -- Compiling entity dp_repack_out -# -- Compiling architecture rtl of dp_repack_out -# -- Compiling entity dp_repack_data -# -- Compiling architecture str of dp_repack_data -# -- Loading entity dp_repack_in -# -- Loading entity dp_repack_out -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity dp_stream_verify -# -- Compiling architecture tb of dp_stream_verify -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity dp_stream_stimuli -# -- Compiling architecture str of dp_stream_stimuli -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_repack_data -# -- Compiling architecture tb of tb_dp_repack_data -# -- Loading entity dp_stream_stimuli -# -- Loading entity dp_stream_verify -# -- Loading entity dp_repack_data -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_repack_data -# -- Compiling architecture tb of tb_tb_dp_repack_data -# -- Loading entity tb_dp_repack_data -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_pipeline_ready -# -- Compiling architecture str of dp_pipeline_ready -# -- Loading entity dp_latency_increase -# -- Loading entity dp_latency_adapter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_pipeline_ready -# -- Compiling architecture tb of tb_dp_pipeline_ready -# -- Loading entity dp_latency_adapter -# -- Loading entity dp_pipeline_ready -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_pipeline_ready -# -- Compiling architecture tb of tb_tb_dp_pipeline_ready -# -- Loading entity tb_dp_pipeline_ready -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_pipeline -# -- Compiling entity dp_pipeline_one -# -- Compiling architecture str of dp_pipeline -# -- Compiling architecture str of dp_pipeline_one -# -- Loading entity dp_hold_input -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_pipeline -# -- Compiling architecture tb of tb_dp_pipeline -# -- Loading entity dp_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_pipeline -# -- Compiling architecture tb of tb_tb_dp_pipeline -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_dp_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_pad_remove -# -- Compiling architecture str of dp_pad_remove -# -- Loading entity dp_split -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_concat -# -- Compiling architecture rtl of dp_concat -# -- Loading entity dp_hold_input -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_pad_insert -# -- Compiling architecture str of dp_pad_insert -# -- Loading entity dp_hold_input -# -- Loading entity dp_concat -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_pad_insert_remove -# -- Compiling architecture tb of tb_dp_pad_insert_remove -# -- Loading entity dp_pad_insert -# -- Loading entity dp_pad_remove -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_pad_insert_remove -# -- Compiling architecture tb of tb_tb_dp_pad_insert_remove -# -- Loading entity tb_dp_pad_insert_remove -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_packet_unmerge -# -- Compiling architecture rtl of dp_packet_unmerge -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_packet_merge -# -- Compiling architecture rtl of dp_packet_merge -# -- Loading entity dp_latency_adapter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_packet_merge -# -- Compiling architecture tb of tb_dp_packet_merge -# -- Loading entity dp_packet_merge -# -- Loading entity dp_packet_unmerge -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_packet_merge -# -- Compiling architecture tb of tb_tb_dp_packet_merge -# -- Loading entity tb_dp_packet_merge -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_shiftreg -# -- Compiling architecture rtl of dp_shiftreg -# -- Loading entity dp_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package dp_packet_pkg -# -- Compiling package body dp_packet_pkg -# -- Loading package dp_packet_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package dp_packet_pkg -# -- Compiling entity dp_packet_dec -# -- Compiling architecture rtl of dp_packet_dec -# -- Loading entity dp_hold_input -# -- Loading entity dp_shiftreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package dp_packet_pkg -# -- Compiling entity dp_packet_enc -# -- Compiling architecture rtl of dp_packet_enc -# -- Loading entity dp_hold_input -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_packet -# -- Compiling architecture tb of tb_dp_packet -# -- Loading package dp_packet_pkg -# -- Loading entity dp_packet_enc -# -- Loading entity dp_packet_dec -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_packet -# -- Compiling architecture tb of tb_tb_dp_packet -# -- Loading entity tb_dp_packet -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_mux -# -- Compiling architecture tb of tb_dp_mux -# ** Warning: [2] /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/tb/vhdl/tb_dp_mux.vhd(261): (vcom-1090) Possible infinite loop: Process contains no WAIT statement. -# -- Loading entity dp_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_mux -# -- Compiling architecture tb of tb_tb_dp_mux -# -- Loading entity tb_dp_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_latency_fifo -# -- Compiling architecture rtl of dp_latency_fifo -# -- Loading entity dp_latency_adapter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_latency_fifo -# -- Compiling architecture tb of tb_dp_latency_fifo -# -- Loading entity dp_latency_fifo -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_latency_fifo -# -- Compiling architecture tb of tb_tb_dp_latency_fifo -# -- Loading entity tb_dp_latency_fifo -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_flush -# -- Compiling architecture rtl of dp_flush -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_flush -# -- Compiling architecture tb of tb_dp_flush -# -- Loading entity dp_flush -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_flush -# -- Compiling architecture tb of tb_tb_dp_flush -# -- Loading entity tb_dp_flush -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_fifo_sc -# -- Compiling architecture tb of tb_dp_fifo_sc -# -- Loading entity dp_fifo_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_fifo_sc -# -- Compiling architecture tb of tb_tb_dp_fifo_sc -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_dp_fifo_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_info -# -- Compiling architecture str of dp_fifo_info -# -- Loading entity dp_pipeline -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_fifo_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_fifo_info -# -- Compiling architecture tb of tb_dp_fifo_info -# -- Loading entity dp_pipeline -# -- Loading entity dp_fifo_info -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_fifo_info -# -- Compiling architecture tb of tb_tb_dp_fifo_info -# -- Loading entity tb_dp_fifo_info -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_dc -# -- Compiling architecture str of dp_fifo_dc -# -- Loading entity dp_fifo_core -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_fill_core -# -- Compiling architecture rtl of dp_fifo_fill_core -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_fifo_dc -# -- Loading entity dp_hold_input -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_fill_sc -# -- Compiling architecture str of dp_fifo_fill_sc -# -- Loading entity dp_fifo_fill_core -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_fifo_fill_sc -# -- Compiling architecture tb of tb_dp_fifo_fill_sc -# -- Loading entity dp_fifo_fill_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_fifo_fill_sc -# -- Compiling architecture tb of tb_tb_dp_fifo_fill_sc -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_dp_fifo_fill_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_fifo_fill -# -- Compiling architecture tb of tb_dp_fifo_fill -# -- Loading entity dp_fifo_fill -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_fifo_fill -# -- Compiling architecture tb of tb_tb_dp_fifo_fill -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_dp_fifo_fill -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_dc_mixed_widths -# -- Compiling architecture str of dp_fifo_dc_mixed_widths -# -- Loading entity dp_fifo_dc -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_fifo_dc_mixed_widths -# -- Loading entity dp_latency_adapter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_fifo_dc_mixed_widths -# -- Compiling architecture tb of tb_dp_fifo_dc_mixed_widths -# -- Loading entity dp_fifo_dc_mixed_widths -# -- Loading entity dp_latency_adapter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_fifo_dc_mixed_widths -# -- Compiling architecture tb of tb_tb_dp_fifo_dc_mixed_widths -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_dp_fifo_dc_mixed_widths -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_fifo_dc -# -- Compiling architecture tb of tb_dp_fifo_dc -# -- Loading entity dp_fifo_dc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_fifo_dc -# -- Compiling architecture tb of tb_tb_dp_fifo_dc -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_dp_fifo_dc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_example_dut -# -- Compiling architecture rtl of dp_example_dut -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_example_dut -# -- Compiling architecture tb of tb_dp_example_dut -# -- Loading entity dp_stream_stimuli -# -- Loading entity dp_stream_verify -# -- Loading entity dp_example_dut -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_example_dut -# -- Compiling architecture tb of tb_tb_dp_example_dut -# -- Loading entity tb_dp_example_dut -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_packet_enc_channel_lo -# -- Compiling architecture rtl of dp_packet_enc_channel_lo -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_packet_dec_channel_lo -# -- Compiling architecture rtl of dp_packet_dec_channel_lo -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_distribute -# -- Compiling architecture str of dp_distribute -# -- Loading entity dp_fifo_fill -# -- Loading entity dp_packet_dec_channel_lo -# -- Loading entity dp_demux -# -- Loading entity dp_mux -# -- Loading entity dp_packet_enc_channel_lo -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_distribute -# -- Compiling architecture tb of tb_dp_distribute -# -- Loading entity dp_distribute -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_distribute -# -- Compiling architecture tb of tb_tb_dp_distribute -# -- Loading entity tb_dp_distribute -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_demux -# -- Compiling architecture tb of tb_dp_demux -# -- Loading entity dp_mux -# -- Loading entity dp_demux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_demux -# -- Compiling architecture tb of tb_tb_dp_demux -# -- Loading entity tb_dp_demux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_concat -# -- Compiling architecture tb of tb_dp_concat -# -- Loading entity dp_concat -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_concat -# -- Compiling architecture tb of tb_tb_dp_concat -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_dp_concat -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_block_gen -# -- Compiling architecture rtl of dp_block_gen -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_bsn_align -# -- Compiling architecture rtl of dp_bsn_align -# -- Loading entity common_switch -# -- Loading entity dp_block_gen -# -- Loading entity dp_hold_input -# -- Loading entity common_operation_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_bsn_align -# -- Compiling architecture tb of tb_dp_bsn_align -# -- Loading entity dp_bsn_align -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_bsn_align -# -- Compiling architecture tb of tb_tb_dp_bsn_align -# -- Loading entity tb_dp_bsn_align -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_block_gen -# -- Compiling architecture tb of tb_dp_block_gen -# -- Loading entity dp_block_gen -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_block_gen -# -- Compiling architecture tb of tb_tb_dp_block_gen -# -- Loading entity tb_dp_block_gen -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_shiftreg -# -- Compiling architecture tb of tb_dp_shiftreg -# -- Loading entity dp_shiftreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_latency_adapter -# -- Compiling architecture tb of tb_dp_latency_adapter -# -- Loading entity dp_latency_adapter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_to_mm -# -- Compiling architecture str of dp_fifo_to_mm -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_from_mm -# -- Compiling architecture str of dp_fifo_from_mm -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_fifo_to_mm -# -- Compiling architecture tb of tb_dp_fifo_to_mm -# -- Loading entity dp_fifo_from_mm -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_fifo_to_mm -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_tb_dp_backpressure -# -- Compiling architecture tb of tb_tb_tb_dp_backpressure -# -- Loading entity tb_dp_fifo_to_mm -# -- Loading entity tb_dp_latency_adapter -# -- Loading entity tb_dp_shiftreg -# -- Loading entity tb_tb_dp_block_gen -# -- Loading entity tb_tb_dp_bsn_align -# -- Loading entity tb_tb_dp_concat -# -- Loading entity tb_tb_dp_demux -# -- Loading entity tb_tb_dp_distribute -# -- Loading entity tb_tb_dp_example_dut -# -- Loading entity tb_tb_dp_fifo_dc -# -- Loading entity tb_tb_dp_fifo_dc_mixed_widths -# -- Loading entity tb_tb_dp_fifo_fill -# -- Loading entity tb_tb_dp_fifo_fill_sc -# -- Loading entity tb_tb_dp_fifo_info -# -- Loading entity tb_tb_dp_fifo_sc -# -- Loading entity tb_tb_dp_flush -# -- Loading entity tb_tb_dp_latency_fifo -# -- Loading entity tb_tb_dp_mux -# -- Loading entity tb_tb_dp_packet -# -- Loading entity tb_tb_dp_packet_merge -# -- Loading entity tb_tb_dp_pad_insert_remove -# -- Loading entity tb_tb_dp_pipeline -# -- Loading entity tb_tb_dp_pipeline_ready -# -- Loading entity tb_tb_dp_repack_data -# -- Loading entity tb_tb_dp_split -# -- Loading entity tb_tb2_dp_demux -# -- Loading entity tb_tb2_dp_mux -# -- Loading entity tb_tb3_dp_demux -# -- Loading entity tb_tb3_dp_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_sync_checker -# -- Compiling architecture str of dp_sync_checker -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_sync_checker -# -- Compiling architecture tb of tb_dp_sync_checker -# -- Loading package common_mem_pkg -# -- Loading entity dp_sync_checker -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_sync_checker -# -- Compiling architecture tb of tb_tb_dp_sync_checker -# -- Loading entity tb_dp_sync_checker -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Compiling package dp_packetizing_pkg -# -- Compiling package body dp_packetizing_pkg -# -- Loading package dp_packetizing_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Loading package dp_packetizing_pkg -# -- Compiling entity dp_unframe -# -- Compiling architecture rtl of dp_unframe -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity dp_frame_rd -# -- Compiling architecture rtl of dp_frame_rd -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Loading package dp_packetizing_pkg -# -- Compiling entity dp_frame_rx -# -- Compiling architecture rtl of dp_frame_rx -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity dp_phy_link -# -- Compiling architecture beh of dp_phy_link -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Loading package dp_packetizing_pkg -# -- Compiling entity dp_frame_tx -# -- Compiling architecture rtl of dp_frame_tx -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Loading package dp_packetizing_pkg -# -- Compiling entity dp_frame -# -- Compiling architecture rtl of dp_frame -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity dp_repack -# -- Compiling architecture rtl of dp_repack -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity dp_frame_repack -# -- Compiling architecture str of dp_frame_repack -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Loading package dp_packetizing_pkg -# -- Loading entity dp_unframe -# -- Loading entity dp_repack -# -- Loading entity dp_frame -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity dp_frame_fsn -# -- Compiling architecture rtl of dp_frame_fsn -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Loading package dp_packetizing_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_packetizing -# -- Compiling architecture tb of tb_dp_packetizing -# -- Loading entity dp_frame_fsn -# -- Loading entity dp_frame -# -- Loading entity dp_frame_repack -# -- Loading entity dp_frame_tx -# -- Loading entity dp_phy_link -# -- Loading entity dp_frame_rx -# -- Loading entity dp_fifo_fill -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_frame_rd -# -- Loading entity dp_unframe -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_packetizing -# -- Compiling architecture tb of tb_tb_dp_packetizing -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Loading package dp_packetizing_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_dp_packetizing -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_xonoff -# -- Compiling architecture rtl of dp_xonoff -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_frame_scheduler -# -- Compiling architecture rtl of dp_frame_scheduler -# -- Loading entity dp_xonoff -# -- Loading entity dp_fifo_fill -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Loading package dp_packetizing_pkg -# -- Compiling entity tb_dp_frame_scheduler -# -- Compiling architecture tb of tb_dp_frame_scheduler -# -- Loading entity dp_frame_scheduler -# -- Loading entity dp_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_frame_scheduler -# -- Compiling architecture tb of tb_tb_dp_frame_scheduler -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Loading package dp_packetizing_pkg -# -- Loading entity tb_dp_frame_scheduler -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package tb_common_mem_pkg -# -- Compiling entity tb_mms_dp_fields -# -- Compiling architecture tb of tb_mms_dp_fields -# -- Loading entity mm_fields -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_xonoff -# -- Compiling architecture tb of tb_dp_xonoff -# -- Loading entity dp_xonoff -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_throttle_sop -# -- Compiling architecture str of dp_throttle_sop -# -- Loading entity common_counter -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_throttle_sop -# -- Compiling architecture tb of tb_dp_throttle_sop -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_throttle_sop -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_tail_remove -# -- Compiling architecture str of dp_tail_remove -# -- Loading entity dp_shiftreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_tail_remove -# -- Compiling architecture tb of tb_dp_tail_remove -# -- Loading entity dp_concat -# -- Loading package common_mem_pkg -# -- Loading entity dp_tail_remove -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_repack -# -- Compiling architecture tb of tb_dp_repack -# -- Loading entity dp_stream_stimuli -# -- Loading entity dp_stream_verify -# -- Loading entity dp_repack -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_reinterleave -# -- Compiling architecture wrap of dp_reinterleave -# -- Loading entity common_reinterleave -# -- Loading entity common_shiftreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_reinterleave -# -- Compiling architecture rtl of tb_dp_reinterleave -# -- Loading entity dp_reinterleave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_ram_to_mm -# -- Compiling architecture rtl of dp_ram_to_mm -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_cr_cw_ratio -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_hdr_remove -# -- Compiling architecture str of dp_hdr_remove -# -- Loading entity dp_ram_to_mm -# -- Loading entity dp_split -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity dp_ram_from_mm_reg -# -- Compiling architecture rtl of dp_ram_from_mm_reg -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_ram_from_mm -# -- Compiling architecture rtl of dp_ram_from_mm -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_cr_cw_ratio -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_dp_ram_from_mm -# -- Compiling architecture str of mms_dp_ram_from_mm -# -- Loading entity dp_ram_from_mm -# -- Loading entity dp_ram_from_mm_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_hdr_insert -# -- Compiling architecture str of dp_hdr_insert -# -- Loading entity mms_dp_ram_from_mm -# -- Loading entity dp_concat -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Loading package common_str_pkg -# -- Compiling entity tb_dp_hdr_insert_remove -# -- Compiling architecture tb of tb_dp_hdr_insert_remove -# -- Loading entity dp_hdr_insert -# -- Loading entity dp_hdr_remove -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_gap -# -- Compiling architecture rtl of dp_gap -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_gap -# -- Compiling architecture tb of tb_dp_gap -# -- Loading entity dp_gap -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_frame_rd -# -- Compiling architecture tb of tb_dp_frame_rd -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_frame_rd -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_deinterleave -# -- Compiling architecture wrap of dp_deinterleave -# -- Loading entity common_deinterleave -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_deinterleave -# -- Compiling architecture tb of tb_dp_deinterleave -# -- Loading entity dp_deinterleave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_bsn_source -# -- Compiling architecture rtl of dp_bsn_source -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_bsn_source -# -- Compiling architecture tb of tb_dp_bsn_source -# -- Loading entity dp_bsn_source -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_bsn_monitor -# -- Compiling architecture rtl of dp_bsn_monitor -# -- Loading entity common_counter -# -- Loading entity common_evt -# -- Loading entity common_stable_monitor -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_bsn_monitor -# -- Compiling architecture tb of tb_dp_bsn_monitor -# -- Loading entity dp_bsn_monitor -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_throttle -# -- Compiling architecture str of dp_throttle -# -- Loading entity common_duty_cycle -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity dp_throttle_reg -# -- Compiling architecture rtl of dp_throttle_reg -# -- Loading entity common_reg_cross_domain -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_dp_throttle -# -- Compiling architecture str of mms_dp_throttle -# -- Loading entity dp_throttle_reg -# -- Loading entity dp_throttle -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity dp_split_reg -# -- Compiling architecture str of dp_split_reg -# -- Loading entity common_reg_cross_domain -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_dp_split -# -- Compiling architecture str of mms_dp_split -# -- Loading entity common_mem_mux -# -- Loading entity dp_split_reg -# -- Loading entity dp_split -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_dp_packet_merge -# -- Compiling architecture str of mms_dp_packet_merge -# -- Loading entity common_mem_mux -# -- Loading entity mm_fields -# -- Loading entity dp_packet_merge -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity dp_fifo_to_mm_reg -# -- Compiling architecture rtl of dp_fifo_to_mm_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_dp_fifo_to_mm -# -- Compiling architecture str of mms_dp_fifo_to_mm -# -- Loading entity dp_fifo_to_mm -# -- Loading entity dp_fifo_to_mm_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity dp_fifo_from_mm_reg -# -- Compiling architecture rtl of dp_fifo_from_mm_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_dp_fifo_from_mm -# -- Compiling architecture str of mms_dp_fifo_from_mm -# -- Loading entity dp_fifo_from_mm -# -- Loading entity dp_fifo_from_mm_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity dp_bsn_source_reg -# -- Compiling architecture rtl of dp_bsn_source_reg -# -- Loading entity common_async -# -- Loading entity common_reg_cross_domain -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_dp_bsn_source -# -- Compiling architecture str of mms_dp_bsn_source -# -- Loading entity dp_bsn_source_reg -# -- Loading entity dp_bsn_source -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_bsn_scheduler -# -- Compiling architecture rtl of dp_bsn_scheduler -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity dp_bsn_scheduler_reg -# -- Compiling architecture rtl of dp_bsn_scheduler_reg -# -- Loading entity common_reg_cross_domain -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_dp_bsn_scheduler -# -- Compiling architecture str of mms_dp_bsn_scheduler -# -- Loading entity dp_bsn_scheduler_reg -# -- Loading entity dp_bsn_scheduler -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity dp_bsn_monitor_reg -# -- Compiling architecture str of dp_bsn_monitor_reg -# -- Loading entity common_reg_r_w_dc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_dp_bsn_monitor -# -- Compiling architecture str of mms_dp_bsn_monitor -# -- Loading entity common_mem_mux -# -- Loading entity dp_bsn_monitor_reg -# -- Loading entity dp_bsn_monitor -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_wideband_wb_arr_scope -# -- Compiling architecture beh of dp_wideband_wb_arr_scope -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_wideband_sp_arr_scope -# -- Compiling architecture beh of dp_wideband_sp_arr_scope -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_validate -# -- Compiling architecture rtl of dp_validate -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_top -# -- Compiling architecture str of dp_top -# -- Loading entity common_pipeline -# -- Loading entity dp_shiftreg -# -- Loading entity dp_fifo_dc_mixed_widths -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_str_pkg -# -- Compiling entity dp_stream_recorder -# -- Compiling architecture beh of dp_stream_recorder -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_str_pkg -# -- Compiling entity dp_stream_player -# -- Compiling architecture beh of dp_stream_player -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_stream_rec_play -# -- Compiling architecture str of dp_stream_rec_play -# -- Loading package common_str_pkg -# -- Loading entity dp_stream_recorder -# -- Loading entity dp_stream_player -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_src_out_timer -# -- Compiling architecture rtl of dp_src_out_timer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_shiftram -# -- Compiling architecture str of dp_shiftram -# -- Loading entity common_shiftram -# -- Loading entity common_mem_mux -# -- Loading entity mm_fields -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_requantize -# -- Compiling architecture str of dp_requantize -# -- Loading entity common_requantize -# -- Loading entity dp_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_ready -# -- Compiling architecture rtl of dp_ready -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_pipeline_arr -# -- Compiling architecture str of dp_pipeline_arr -# -- Loading entity dp_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_paged_sop_eop_reg -# -- Compiling architecture str of dp_paged_sop_eop_reg -# -- Loading entity common_paged_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Compiling entity dp_offload_tx_len_calc -# -- Compiling architecture str of dp_offload_tx_len_calc -# -- Loading entity common_mult -# -- Loading entity common_adder_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_offload_tx_legacy -# -- Compiling architecture str of dp_offload_tx_legacy -# -- Loading entity common_mem_mux -# -- Loading entity dp_fifo_sc -# -- Loading entity mms_dp_split -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading entity mms_dp_packet_merge -# -- Loading package dp_packet_pkg -# -- Loading entity dp_packet_enc -# -- Loading entity dp_hdr_insert -# -- Loading entity dp_fifo_fill -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_field_blk -# -- Compiling architecture str of dp_field_blk -# -- Loading entity dp_repack_data -# -- Loading entity mm_fields -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Compiling entity dp_offload_tx -# -- Compiling architecture str of dp_offload_tx -# -- Loading entity dp_split -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_packet_merge -# -- Loading entity dp_pipeline -# -- Loading entity dp_field_blk -# -- Loading entity dp_concat -# -- Loading entity mm_fields -# -- Loading entity common_mem_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Compiling entity dp_offload_rx -# -- Compiling architecture str of dp_offload_rx -# -- Loading entity dp_split -# -- Loading entity dp_field_blk -# -- Loading entity dp_tail_remove -# -- Loading entity common_mem_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_mon -# -- Compiling architecture rtl of dp_mon -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_loopback -# -- Compiling architecture str of dp_loopback -# -- Loading entity dp_demux -# -- Loading entity dp_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity dp_hold_data -# -- Compiling architecture rtl of dp_hold_data -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity dp_frame_status -# -- Compiling architecture rtl of dp_frame_status -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_frame_remove -# -- Compiling architecture str of dp_frame_remove -# -- Loading entity dp_latency_adapter -# -- Loading entity dp_hdr_remove -# -- Loading entity dp_tail_remove -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_fill_dc -# -- Compiling architecture str of dp_fifo_fill_dc -# -- Loading entity dp_fifo_fill_core -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity dp_eop_extend -# -- Compiling architecture rtl of dp_eop_extend -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_bsn_delay -# -- Compiling architecture rtl of dp_bsn_delay -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_barrel_shift -# -- Compiling architecture str of dp_barrel_shift -# [mk compile diag] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project diag -# Compile of diag_pkg.vhd was successful. -# Compile of diag_bypass.vhd was successful. -# Compile of diag_tx_seq.vhd was successful. -# Compile of diag_tx_frm.vhd was successful. -# Compile of diag_rx_seq.vhd was successful. -# Compile of diag_frm_generator.vhd was successful. -# Compile of diag_frm_monitor.vhd was successful. -# Compile of mms_diag_tx_seq.vhd was successful. -# Compile of mms_diag_rx_seq.vhd was successful. -# Compile of diag_wg.vhd was successful. -# Compile of diag_wg_wideband.vhd was successful. -# Compile of diag_wg_wideband_reg.vhd was successful. -# Compile of mms_diag_wg_wideband.vhd was successful. -# Compile of diag_data_buffer.vhd was successful. -# Compile of mms_diag_data_buffer.vhd was successful. -# Compile of diag_block_gen.vhd was successful. -# Compile of diag_block_gen_reg.vhd was successful. -# Compile of mms_diag_block_gen.vhd was successful. -# Compile of tb_diag_pkg.vhd was successful. -# Compile of tb_diag_wg.vhd was successful. -# Compile of tb_diag_wg_wideband.vhd was successful. -# Compile of tb_diag_tx_seq.vhd was successful. -# Compile of tb_diag_rx_seq.vhd was successful. -# Compile of tb_tb_diag_rx_seq.vhd was successful. -# Compile of tb_diag_tx_frm.vhd was successful. -# Compile of tb_diag_frm_generator.vhd was successful. -# Compile of tb_diag_frm_monitor.vhd was successful. -# Compile of tb_mms_diag_seq.vhd was successful. -# Compile of tb_tb_mms_diag_seq.vhd was successful. -# Compile of tb_diag_block_gen.vhd was successful. -# Compile of tb_mms_diag_block_gen.vhd was successful. -# Compile of tb_tb_mms_diag_block_gen.vhd was successful. -# Compile of tb_diag_regression.vhd was successful. -# 33 compiles, 0 failed with no errors. -# [mk vmake diag] -# -# [mk make diag] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package diag_pkg -# -- Compiling package body diag_pkg -# -- Loading package diag_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package diag_pkg -# -- Compiling package tb_diag_pkg -# -- Compiling package body tb_diag_pkg -# -- Loading package tb_diag_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package diag_pkg -# -- Compiling entity diag_rx_seq -# -- Compiling architecture rtl of diag_rx_seq -# -- Loading entity common_switch -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package dp_stream_pkg -# -- Loading package diag_pkg -# -- Compiling entity mms_diag_rx_seq -# -- Compiling architecture str of mms_diag_rx_seq -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diag_rx_seq -# -- Loading entity common_reg_r_w_dc -# -- Loading entity common_mem_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Compiling entity diag_tx_seq -# -- Compiling architecture rtl of diag_tx_seq -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package dp_stream_pkg -# -- Loading package diag_pkg -# -- Compiling entity mms_diag_tx_seq -# -- Compiling architecture str of mms_diag_tx_seq -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diag_tx_seq -# -- Loading entity common_reg_r_w_dc -# -- Loading entity common_mem_mux -# -- Loading entity dp_pipeline_arr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Loading package diag_pkg -# -- Loading package tb_diag_pkg -# -- Compiling entity tb_mms_diag_seq -# -- Compiling architecture str of tb_mms_diag_seq -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading entity mms_diag_tx_seq -# -- Loading entity mms_diag_rx_seq -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_mms_diag_seq -# -- Compiling architecture tb of tb_tb_mms_diag_seq -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package diag_pkg -# -- Loading package tb_diag_pkg -# -- Loading entity tb_mms_diag_seq -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package diag_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity diag_block_gen -# -- Compiling architecture rtl of diag_block_gen -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package diag_pkg -# -- Compiling entity diag_block_gen_reg -# -- Compiling architecture rtl of diag_block_gen_reg -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package diag_pkg -# -- Compiling entity mms_diag_block_gen -# -- Compiling architecture rtl of mms_diag_block_gen -# -- Loading entity diag_block_gen_reg -# -- Loading entity common_mem_mux -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_crw_crw -# -- Loading entity diag_block_gen -# -- Loading entity dp_xonoff -# -- Loading entity dp_mux -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading entity mms_diag_tx_seq -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package textio -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Loading package diag_pkg -# -- Compiling entity tb_mms_diag_block_gen -# -- Compiling architecture tb of tb_mms_diag_block_gen -# -- Loading entity mms_diag_block_gen -# -- Loading entity dp_fifo_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_mms_diag_block_gen -# -- Compiling architecture tb of tb_tb_mms_diag_block_gen -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package diag_pkg -# -- Loading entity tb_mms_diag_block_gen -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package diag_pkg -# -- Compiling entity tb_diag_rx_seq -# -- Compiling architecture tb of tb_diag_rx_seq -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diag_tx_seq -# -- Loading entity diag_rx_seq -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_diag_rx_seq -# -- Compiling architecture tb of tb_tb_diag_rx_seq -# -- Loading package diag_pkg -# -- Loading entity tb_diag_rx_seq -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package diag_pkg -# -- Compiling entity diag_wg -# -- Compiling architecture rtl of diag_wg -# -- Loading entity common_mult -# -- Loading entity common_round -# -- Loading entity common_clip -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package diag_pkg -# -- Compiling entity diag_wg_wideband -# -- Compiling architecture str of diag_wg_wideband -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_crw_crw -# -- Loading entity diag_wg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package diag_pkg -# -- Compiling entity tb_diag_wg_wideband -# -- Compiling architecture tb of tb_diag_wg_wideband -# -- Loading package common_mem_pkg -# -- Loading entity diag_wg_wideband -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package diag_pkg -# -- Compiling entity tb_diag_wg -# -- Compiling architecture tb of tb_diag_wg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_crw_crw -# -- Loading entity diag_wg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_diag_tx_seq -# -- Compiling architecture tb of tb_diag_tx_seq -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diag_tx_seq -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Compiling entity diag_tx_frm -# -- Compiling architecture rtl of diag_tx_frm -# -- Loading entity common_counter -# -- Loading entity diag_tx_seq -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_diag_tx_frm -# -- Compiling architecture tb of tb_diag_tx_frm -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diag_tx_frm -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity diag_frm_monitor -# -- Compiling architecture str of diag_frm_monitor -# -- Loading entity common_evt -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity diag_frm_generator -# -- Compiling architecture str of diag_frm_generator -# -- Loading entity common_evt -# -- Loading entity common_pulser -# -- Loading entity common_counter -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diag_tx_frm -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_diag_frm_monitor -# -- Compiling architecture tb of tb_diag_frm_monitor -# -- Loading entity diag_frm_generator -# -- Loading entity diag_frm_monitor -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_diag_frm_generator -# -- Compiling architecture tb of tb_diag_frm_generator -# -- Loading entity diag_frm_generator -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package diag_pkg -# -- Compiling entity tb_diag_block_gen -# -- Compiling architecture tb of tb_diag_block_gen -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_crw_crw -# -- Loading entity diag_block_gen -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_diag_regression -# -- Compiling architecture tb of tb_diag_regression -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package diag_pkg -# -- Loading entity tb_diag_block_gen -# -- Loading entity tb_diag_frm_generator -# -- Loading entity tb_diag_frm_monitor -# -- Loading entity tb_tb_diag_rx_seq -# -- Loading entity tb_tb_mms_diag_seq -# -- Loading entity tb_tb_mms_diag_block_gen -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package diag_pkg -# -- Compiling entity diag_wg_wideband_reg -# -- Compiling architecture rtl of diag_wg_wideband_reg -# -- Loading entity common_reg_cross_domain -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package diag_pkg -# -- Compiling entity mms_diag_wg_wideband -# -- Compiling architecture str of mms_diag_wg_wideband -# -- Loading entity diag_wg_wideband_reg -# -- Loading entity diag_wg_wideband -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package diag_pkg -# -- Compiling entity diag_data_buffer -# -- Compiling architecture rtl of diag_data_buffer -# -- Loading entity common_spulse -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_crw_crw_ratio -# -- Loading entity common_reg_r_w_dc -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package diag_pkg -# -- Compiling entity mms_diag_data_buffer -# -- Compiling architecture str of mms_diag_data_buffer -# -- Loading entity common_mem_mux -# -- Loading entity diag_data_buffer -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading entity mms_diag_rx_seq -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity diag_bypass -# -- Compiling architecture rtl of diag_bypass -# [mk compile uth] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project uth -# Compile of uth_pkg.vhd was successful. -# Compile of uth_tx.vhd was successful. -# Compile of uth_rx_tlen.vhd was successful. -# Compile of uth_rx.vhd was successful. -# Compile of uth_terminal_tx.vhd was successful. -# Compile of uth_terminal_rx.vhd was successful. -# Compile of uth_terminal_bidir.vhd was successful. -# Compile of tb_uth.vhd was successful. -# Compile of tb_uth_dp_packet.vhd was successful. -# Compile of tb_uth_terminals.vhd was successful. -# Compile of tb_tb_uth.vhd was successful. -# Compile of tb_tb_uth_dp_packet.vhd was successful. -# Compile of tb_tb_uth_terminals.vhd was successful. -# Compile of tb_tb_tb_uth_regression.vhd was successful. -# 14 compiles, 0 failed with no errors. -# [mk vmake uth] -# -# [mk make uth] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Compiling package uth_pkg -# -- Compiling package body uth_pkg -# -- Loading package uth_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Compiling entity uth_tx -# -- Compiling architecture rtl_delay of uth_tx -# -- Loading entity common_switch -# -- Loading entity dp_latency_adapter -# -- Compiling architecture rtl_hold of uth_tx -# -- Loading entity uth_tx -# -- Loading entity dp_hold_input -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity uth_terminal_tx -# -- Compiling architecture str of uth_terminal_tx -# -- Loading entity dp_fifo_fill -# -- Loading package dp_packet_pkg -# -- Loading entity dp_packet_enc -# -- Loading entity dp_distribute -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Loading entity uth_tx -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Compiling entity uth_rx_tlen -# -- Compiling architecture rtl of uth_rx_tlen -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Compiling entity uth_rx -# -- Compiling architecture rtl_adapt of uth_rx -# -- Loading entity dp_latency_adapter -# -- Loading entity common_counter -# -- Loading entity uth_rx_tlen -# -- Compiling architecture rtl_hold of uth_rx -# -- Loading entity uth_rx -# -- Loading entity dp_hold_input -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Compiling entity uth_terminal_rx -# -- Compiling architecture str of uth_terminal_rx -# -- Loading entity dp_fifo_fill -# -- Loading entity uth_rx -# -- Loading entity dp_distribute -# -- Loading package dp_packet_pkg -# -- Loading entity dp_packet_dec -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package dp_packet_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Compiling entity uth_terminal_bidir -# -- Compiling architecture str of uth_terminal_bidir -# -- Loading entity uth_terminal_tx -# -- Loading entity uth_terminal_rx -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package dp_packet_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_uth_terminals -# -- Compiling architecture tb of tb_uth_terminals -# -- Loading entity uth_terminal_tx -# -- Loading entity dp_fifo_sc -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Loading entity uth_terminal_rx -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package dp_packet_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_uth_dp_packet -# -- Compiling architecture tb of tb_uth_dp_packet -# -- Loading entity dp_mux -# -- Loading entity dp_demux -# -- Loading entity dp_packet_enc -# -- Loading entity dp_packet_dec -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Loading entity uth_tx -# -- Loading entity uth_rx -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_uth -# -- Compiling architecture tb of tb_uth -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Loading entity uth_tx -# -- Loading entity uth_rx -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_uth_terminals -# -- Compiling architecture tb of tb_tb_uth_terminals -# -- Loading package dp_packet_pkg -# -- Loading entity tb_uth_terminals -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_uth_dp_packet -# -- Compiling architecture tb of tb_tb_uth_dp_packet -# -- Loading package dp_packet_pkg -# -- Loading entity tb_uth_dp_packet -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_uth -# -- Compiling architecture tb of tb_tb_uth -# -- Loading entity tb_uth -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_tb_uth_regression -# -- Compiling architecture tb of tb_tb_tb_uth_regression -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_tb_uth -# -- Loading entity tb_tb_uth_dp_packet -# -- Loading entity tb_tb_uth_terminals -# [mk compile ppsh] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ppsh -# Compile of ppsh.vhd was successful. -# Compile of mm_ppsh.vhd was successful. -# Compile of ppsh_reg.vhd was successful. -# Compile of mms_ppsh.vhd was successful. -# Compile of tb_ppsh.vhd was successful. -# Compile of tb_mms_ppsh.vhd was successful. -# 6 compiles, 0 failed with no errors. -# [mk vmake ppsh] -# -# [mk make ppsh] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity ppsh -# -- Compiling architecture rtl of ppsh -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ddio_in -# -- Loading entity common_async -# -- Loading entity common_evt -# -- Loading entity common_interval_monitor -# -- Loading entity common_pipeline_sl -# -- Loading entity common_stable_monitor -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_ppsh -# -- Compiling architecture tb of tb_ppsh -# -- Loading entity ppsh -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity ppsh_reg -# -- Compiling architecture rtl of ppsh_reg -# -- Loading entity common_async -# -- Loading entity common_reg_cross_domain -# -- Loading entity common_spulse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity mms_ppsh -# -- Compiling architecture str of mms_ppsh -# -- Loading entity ppsh -# -- Loading entity ppsh_reg -# -- Loading entity common_spulse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Compiling entity tb_mms_ppsh -# -- Compiling architecture tb of tb_mms_ppsh -# -- Loading entity mms_ppsh -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity mm_ppsh -# -- Compiling architecture str of mm_ppsh -# -- Loading entity ppsh -# -- Loading entity common_spulse -# [mk compile i2c] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project i2c -# Compile of i2c_pkg.vhd was successful. -# Compile of i2c_bit.vhd was successful. -# Compile of i2c_byte.vhd was successful. -# Compile of i2c_smbus_pkg.vhd was successful. -# Compile of i2c_smbus.vhd was successful. -# Compile of i2c_list_ctrl.vhd was successful. -# Compile of i2c_commander_pkg.vhd was successful. -# Compile of i2c_dev_max1617_pkg.vhd was successful. -# Compile of i2c_dev_max6652_pkg.vhd was successful. -# Compile of i2c_dev_ltc4260_pkg.vhd was successful. -# Compile of i2c_dev_unb_pkg.vhd was successful. -# Compile of i2c_dev_adu_pkg.vhd was successful. -# Compile of i2c_commander_aduh_pkg.vhd was successful. -# Compile of i2c_commander_unbh_pkg.vhd was successful. -# Compile of i2c_commander_reg.vhd was successful with warnings. -# Compile of i2c_commander_ctrl.vhd was successful. -# Compile of i2c_commander.vhd was successful. -# Compile of i2c_mm.vhd was successful. -# Compile of i2c_master.vhd was successful. -# Compile of avs_i2c_master.vhd was successful. -# Compile of i2c_slv_device.vhd was successful. -# Compile of i2cslave.vhd was successful. -# Compile of dev_pca9555.vhd was successful. -# Compile of dev_max1618.vhd was successful. -# Compile of dev_max6652.vhd was successful. -# Compile of dev_ltc4260.vhd was successful. -# Compile of tb_i2cslave.vhd was successful. -# Compile of tb_i2c_master.vhd was successful. -# Compile of tb_avs_i2c_master.vhd was successful. -# Compile of tb_i2c_commander.vhd was successful. -# Compile of tb_tb_i2c_commander.vhd was successful. -# 31 compiles, 0 failed with no errors. -# [mk vmake i2c] -# -# [mk make i2c] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Compiling entity i2c_slv_device -# -- Compiling architecture beh of i2c_slv_device -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity dev_pca9555 -# -- Compiling architecture beh of dev_pca9555 -# -- Loading package std_logic_arith -# -- Loading entity i2c_slv_device -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Compiling package i2c_dev_max1617_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package i2c_dev_max1617_pkg -# -- Compiling entity dev_max1618 -# -- Compiling architecture beh of dev_max1618 -# -- Loading package std_logic_arith -# -- Loading entity i2c_slv_device -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity dev_max6652 -# -- Compiling architecture beh of dev_max6652 -# -- Loading package std_logic_arith -# -- Loading entity i2c_slv_device -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Compiling entity i2c_byte -# -- Compiling architecture structural of i2c_byte -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package i2c_smbus_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package i2c_pkg -# -- Compiling package body i2c_pkg -# -- Loading package i2c_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_smbus_pkg -# -- Compiling entity i2c_smbus -# -- Compiling architecture rtl of i2c_smbus -# -- Loading entity i2c_byte -# -- Loading entity common_switch -# -- Loading entity common_pulse_extend -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity i2c_list_ctrl -# -- Compiling architecture rtl of i2c_list_ctrl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_smbus_pkg -# -- Compiling package i2c_commander_pkg -# -- Compiling package body i2c_commander_pkg -# -- Loading package i2c_commander_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_commander_pkg -# -- Compiling entity i2c_commander_ctrl -# -- Compiling architecture rtl of i2c_commander_ctrl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_commander_pkg -# -- Compiling entity i2c_commander_reg -# -- Compiling architecture rtl of i2c_commander_reg -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/i2c/src/vhdl/i2c_commander_reg.vhd(152): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/i2c/src/vhdl/i2c_commander_reg.vhd(157): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/i2c/src/vhdl/i2c_commander_reg.vhd(160): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/i2c/src/vhdl/i2c_commander_reg.vhd(176): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/i2c/src/vhdl/i2c_commander_reg.vhd(179): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/i2c/src/vhdl/i2c_commander_reg.vhd(182): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/i2c/src/vhdl/i2c_commander_reg.vhd(186): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/i2c/src/vhdl/i2c_commander_reg.vhd(190): Case choice must be a locally static expression. -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_commander_pkg -# -- Compiling entity i2c_commander -# -- Compiling architecture str of i2c_commander -# -- Loading entity i2c_commander_reg -# -- Loading entity i2c_commander_ctrl -# -- Loading entity common_request -# -- Loading entity i2c_list_ctrl -# -- Loading package std_logic_arith -# -- Loading entity i2c_smbus -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_rw_rw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Compiling package i2c_dev_max6652_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_dev_max1617_pkg -# -- Loading package i2c_dev_max6652_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_commander_pkg -# -- Compiling package i2c_dev_unb_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_commander_pkg -# -- Loading package i2c_dev_max1617_pkg -# -- Loading package i2c_dev_max6652_pkg -# -- Loading package i2c_dev_unb_pkg -# -- Compiling package i2c_commander_unbh_pkg -# -- Compiling package body i2c_commander_unbh_pkg -# -- Loading package i2c_commander_unbh_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_dev_max1617_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_commander_pkg -# -- Compiling package i2c_dev_adu_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_commander_pkg -# -- Loading package i2c_dev_max1617_pkg -# -- Loading package i2c_dev_adu_pkg -# -- Compiling package i2c_commander_aduh_pkg -# -- Compiling package body i2c_commander_aduh_pkg -# -- Loading package i2c_commander_aduh_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Compiling entity tb_i2c_commander -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_dev_max1617_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_commander_pkg -# -- Loading package i2c_dev_adu_pkg -# -- Loading package i2c_dev_max6652_pkg -# -- Loading package i2c_dev_unb_pkg -# -- Loading package i2c_commander_aduh_pkg -# -- Loading package i2c_commander_unbh_pkg -# -- Compiling architecture tb of tb_i2c_commander -# -- Loading entity tst_output -# -- Loading entity i2c_commander -# -- Loading entity dev_max6652 -# -- Loading entity dev_max1618 -# -- Loading entity dev_pca9555 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_i2c_commander -# -- Compiling architecture tb of tb_tb_i2c_commander -# -- Loading entity tb_i2c_commander -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Compiling entity tb_i2cslave -# -- Compiling architecture tb of tb_i2cslave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package i2c_pkg -# -- Compiling entity i2c_mm -# -- Compiling architecture str of i2c_mm -# -- Loading entity common_request -# -- Loading entity common_switch -# -- Loading entity common_reg_r_w -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_rw_rw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_pkg -# -- Compiling entity i2c_master -# -- Compiling architecture str of i2c_master -# -- Loading package common_mem_pkg -# -- Loading entity i2c_mm -# -- Loading entity i2c_list_ctrl -# -- Loading package std_logic_arith -# -- Loading package i2c_smbus_pkg -# -- Loading entity i2c_smbus -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Compiling entity tb_i2c_master -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_dev_max1617_pkg -# -- Loading package i2c_dev_max6652_pkg -# -- Loading package i2c_pkg -# -- Compiling architecture tb of tb_i2c_master -# -- Loading entity i2c_master -# -- Loading entity dev_max1618 -# -- Loading entity dev_max6652 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_avs_i2c_master -# -- Compiling architecture tb of tb_avs_i2c_master -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Compiling entity i2cslave -# -- Compiling architecture rtl of i2cslave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Compiling package i2c_dev_ltc4260_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Compiling entity i2c_bit -# -- Compiling architecture rtl of i2c_bit -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_dev_ltc4260_pkg -# -- Compiling entity dev_ltc4260 -# -- Compiling architecture beh of dev_ltc4260 -# -- Loading package std_logic_arith -# -- Loading entity i2c_slv_device -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_pkg -# -- Compiling entity avs_i2c_master -# -- Compiling architecture wrap of avs_i2c_master -# -- Loading entity i2c_master -# [mk compile diagnostics] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project diagnostics -# Compile of diagnostics.vhd was successful. -# Compile of diagnostics_reg.vhd was successful. -# Compile of mm_rx_logger_trig.vhd was successful. -# Compile of mm_rx_logger_reg.vhd was successful. -# Compile of mm_rx_logger.vhd was successful. -# Compile of mm_tx_framer_reg.vhd was successful. -# Compile of mm_tx_framer.vhd was successful. -# Compile of mms_diagnostics.vhd was successful. -# Compile of tb_diagnostics_trnb_pkg.vhd was successful. -# Compile of tb_diagnostics.vhd was successful. -# Compile of tb_mm_tx_framer.vhd was successful. -# 11 compiles, 0 failed with no errors. -# [mk vmake diagnostics] -# -# [mk make diagnostics] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity mm_tx_framer_reg -# -- Compiling architecture rtl of mm_tx_framer_reg -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mm_tx_framer -# -- Compiling architecture str of mm_tx_framer -# -- Loading entity dp_fifo_from_mm -# -- Loading entity dp_fifo_dc_mixed_widths -# -- Loading entity mm_tx_framer_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tb_mm_tx_framer -# -- Compiling architecture tb of tb_mm_tx_framer -# -- Loading entity mm_tx_framer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package textio -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Compiling package tb_diagnostics_trnb_pkg -# -- Compiling package body tb_diagnostics_trnb_pkg -# -- Loading package tb_diagnostics_trnb_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity diagnostics -# -- Compiling architecture str of diagnostics -# -- Loading entity dp_latency_adapter -# -- Loading entity dp_block_gen -# -- Loading entity diag_tx_seq -# -- Loading package diag_pkg -# -- Loading entity diag_rx_seq -# -- Loading entity dp_mon -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tb_diagnostics -# -- Compiling architecture str of tb_diagnostics -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diagnostics -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package diag_pkg -# -- Compiling entity diagnostics_reg -# -- Compiling architecture rtl of diagnostics_reg -# -- Loading entity common_async -# -- Loading entity common_reg_cross_domain -# -- Loading entity common_spulse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity mms_diagnostics -# -- Compiling architecture str of mms_diagnostics -# -- Loading entity diagnostics -# -- Loading package diag_pkg -# -- Loading entity diagnostics_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity mm_rx_logger_trig -# -- Compiling architecture str of mm_rx_logger_trig -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity mm_rx_logger_reg -# -- Compiling architecture rtl of mm_rx_logger_reg -# -- Loading entity common_spulse -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity mm_rx_logger -# -- Compiling architecture str of mm_rx_logger -# -- Loading entity mm_rx_logger_trig -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_flush -# -- Loading entity dp_fifo_dc_mixed_widths -# -- Loading entity dp_fifo_to_mm -# -- Loading entity mm_rx_logger_reg -# [mk compile ip_stratixiv_transceiver] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_transceiver -# Compile of ip_stratixiv_gxb_reconfig_v91_2.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v91_4.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v91_8.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v91_12.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v91.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v101_4.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v101_8.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v101_12.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v101.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v111_4.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v111_16.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v111.vhd was successful. -# Compile of ip_stratixiv_hssi_gx_32b_generic.vhd was successful. -# Compile of ip_stratixiv_hssi_tx_32b_generic.vhd was successful. -# Compile of ip_stratixiv_hssi_rx_32b_generic.vhd was successful. -# Compile of ip_stratixiv_hssi_gx_16b.vhd was successful. -# Compile of ip_stratixiv_hssi_tx_16b.vhd was successful. -# Compile of ip_stratixiv_hssi_rx_16b.vhd was successful. -# 18 compiles, 0 failed with no errors. -# [mk vmake ip_stratixiv_transceiver] -# -# [mk make ip_stratixiv_transceiver] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_hssi_tx_32b_generic_alt4gxb_dgn4 -# -- Compiling architecture rtl of ip_stratixiv_hssi_tx_32b_generic_alt4gxb_dgn4 -# -- Compiling entity ip_stratixiv_hssi_tx_32b_generic -# -- Compiling architecture rtl of ip_stratixiv_hssi_tx_32b_generic -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_hssi_tx_16b_alt4gxb -# -- Compiling architecture rtl of ip_stratixiv_hssi_tx_16b_alt4gxb -# -- Compiling entity ip_stratixiv_hssi_tx_16b -# -- Compiling architecture rtl of ip_stratixiv_hssi_tx_16b -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_hssi_rx_32b_generic_alt4gxb_qi67 -# -- Compiling architecture rtl of ip_stratixiv_hssi_rx_32b_generic_alt4gxb_qi67 -# -- Compiling entity ip_stratixiv_hssi_rx_32b_generic -# -- Compiling architecture rtl of ip_stratixiv_hssi_rx_32b_generic -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_hssi_rx_16b_alt4gxb -# -- Compiling architecture rtl of ip_stratixiv_hssi_rx_16b_alt4gxb -# -- Compiling entity ip_stratixiv_hssi_rx_16b -# -- Compiling architecture rtl of ip_stratixiv_hssi_rx_16b -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_hssi_gx_32b_generic_alt4gxb_81na -# -- Compiling architecture rtl of ip_stratixiv_hssi_gx_32b_generic_alt4gxb_81na -# -- Compiling entity ip_stratixiv_hssi_gx_32b_generic -# -- Compiling architecture rtl of ip_stratixiv_hssi_gx_32b_generic -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_hssi_gx_16b_alt4gxb -# -- Compiling architecture rtl of ip_stratixiv_hssi_gx_16b_alt4gxb -# -- Compiling entity ip_stratixiv_hssi_gx_16b -# -- Compiling architecture rtl of ip_stratixiv_hssi_gx_16b -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_8_alt_dprio_2vj -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_8_alt_dprio_2vj -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_8_mux_c6a -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_8_mux_c6a -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_8_alt2gxb_reconfig_njm -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_8_alt2gxb_reconfig_njm -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_8 -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_8 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_4_alt_dprio_2vj -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_4_alt_dprio_2vj -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_4_mux_76a -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_4_mux_76a -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_4_alt2gxb_reconfig_9im -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_4_alt2gxb_reconfig_9im -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_4 -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_4 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_2_alt_dprio_2vj -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_2_alt_dprio_2vj -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_2_mux_46a -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_2_mux_46a -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_2_alt2gxb_reconfig_hgm -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_2_alt2gxb_reconfig_hgm -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_2 -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_2 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_12_alt_dprio_2vj -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_12_alt_dprio_2vj -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_12_mux_o7a -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_12_mux_o7a -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_12_alt2gxb_reconfig_5lm -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_12_alt2gxb_reconfig_5lm -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_12 -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_12 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91 -# -- Compiling architecture str of ip_stratixiv_gxb_reconfig_v91 -# -- Loading entity ip_stratixiv_gxb_reconfig_v91_2 -# -- Loading entity ip_stratixiv_gxb_reconfig_v91_4 -# -- Loading entity ip_stratixiv_gxb_reconfig_v91_8 -# -- Loading entity ip_stratixiv_gxb_reconfig_v91_12 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v111_4_alt_dprio_2vj -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v111_4_alt_dprio_2vj -# -- Compiling entity ip_stratixiv_gxb_reconfig_v111_4_alt2gxb_reconfig_5vv -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v111_4_alt2gxb_reconfig_5vv -# -- Compiling entity ip_stratixiv_gxb_reconfig_v111_4 -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v111_4 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v111_16_alt_dprio_2vj -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v111_16_alt_dprio_2vj -# -- Compiling entity ip_stratixiv_gxb_reconfig_v111_16_mux_76a -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v111_16_mux_76a -# -- Compiling entity ip_stratixiv_gxb_reconfig_v111_16_alt2gxb_reconfig_9im -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v111_16_alt2gxb_reconfig_9im -# -- Compiling entity ip_stratixiv_gxb_reconfig_v111_16 -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v111_16 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_gxb_reconfig_v111 -# -- Compiling architecture str of ip_stratixiv_gxb_reconfig_v111 -# -- Loading entity ip_stratixiv_gxb_reconfig_v111_4 -# -- Loading entity ip_stratixiv_gxb_reconfig_v111_16 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_8_alt_dprio_2vj -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_8_alt_dprio_2vj -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_8_mux_46a -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_8_mux_46a -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_8_alt2gxb_reconfig_hgm -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_8_alt2gxb_reconfig_hgm -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_8 -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_8 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_4_alt_dprio_2vj -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_4_alt_dprio_2vj -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_4_alt2gxb_reconfig_dgm -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_4_alt2gxb_reconfig_dgm -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_4 -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_4 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_12_alt_dprio_2vj -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_12_alt_dprio_2vj -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_12_mux_66a -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_12_mux_66a -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_12_alt2gxb_reconfig_shm -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_12_alt2gxb_reconfig_shm -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_12 -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_12 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101 -# -- Compiling architecture str of ip_stratixiv_gxb_reconfig_v101 -# -- Loading entity ip_stratixiv_gxb_reconfig_v101_4 -# -- Loading entity ip_stratixiv_gxb_reconfig_v101_8 -# -- Loading entity ip_stratixiv_gxb_reconfig_v101_12 -# [mk compile tech_transceiver] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_transceiver -# Compile of sim_transceiver_serializer.vhd was successful. -# Compile of sim_transceiver_deserializer.vhd was successful. -# Compile of sim_transceiver_gx.vhd was successful. -# Compile of tech_transceiver_component_pkg.vhd was successful. -# Compile of tech_transceiver_rx_order.vhd was successful. -# Compile of tech_transceiver_rx_align.vhd was successful. -# Compile of tech_transceiver_rx_rst.vhd was successful. -# Compile of tech_transceiver_tx_align.vhd was successful. -# Compile of tech_transceiver_tx_rst.vhd was successful. -# Compile of tech_transceiver_gx_stratixiv.vhd was successful. -# Compile of tech_transceiver_gx.vhd was successful. -# Compile of tech_transceiver_arria10_48.vhd was successful. -# Compile of tb_sim_transceiver_serdes.vhd was successful. -# 13 compiles, 0 failed with no errors. -# [mk vmake tech_transceiver] -# -# [mk make tech_transceiver] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tech_transceiver_tx_rst -# -- Compiling architecture rtl of tech_transceiver_tx_rst -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tech_transceiver_tx_align -# -- Compiling architecture rtl of tech_transceiver_tx_align -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tech_transceiver_rx_rst -# -- Compiling architecture rtl of tech_transceiver_rx_rst -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tech_transceiver_rx_order -# -- Compiling architecture rtl of tech_transceiver_rx_order -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tech_transceiver_rx_align -# -- Compiling architecture rtl of tech_transceiver_rx_align -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling package tech_transceiver_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package tech_transceiver_component_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tech_transceiver_gx_stratix_iv -# -- Compiling architecture str of tech_transceiver_gx_stratix_iv -# -- Loading entity common_areset -# -- Loading entity tech_transceiver_tx_rst -# -- Loading entity tech_transceiver_tx_align -# -- Loading entity tech_transceiver_rx_rst -# -- Loading entity tech_transceiver_rx_align -# -- Loading entity tech_transceiver_rx_order -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package tech_transceiver_component_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tech_transceiver_gx -# -- Compiling architecture str of tech_transceiver_gx -# -- Loading entity tech_transceiver_gx_stratix_iv -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Compiling entity tech_transceiver_arria10_48 -# -- Compiling architecture str of tech_transceiver_arria10_48 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity sim_transceiver_deserializer -# -- Compiling architecture beh of sim_transceiver_deserializer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity sim_transceiver_serializer -# -- Compiling architecture beh of sim_transceiver_serializer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_sim_transceiver_serdes -# -- Compiling architecture tb of tb_sim_transceiver_serdes -# -- Loading entity sim_transceiver_serializer -# -- Loading entity sim_transceiver_deserializer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity sim_transceiver_gx -# -- Compiling architecture str of sim_transceiver_gx -# -- Loading entity common_areset -# -- Loading entity sim_transceiver_serializer -# -- Loading entity sim_transceiver_deserializer -# [mk compile tr_nonbonded] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tr_nonbonded -# Compile of tr_nonbonded.vhd was successful. -# Compile of tr_nonbonded_reg.vhd was successful. -# Compile of mms_tr_nonbonded.vhd was successful. -# Compile of tb_tr_nonbonded.vhd was successful. -# Compile of tb_tb_tr_nonbonded.vhd was successful. -# 5 compiles, 0 failed with no errors. -# [mk vmake tr_nonbonded] -# -# [mk make tr_nonbonded] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package diag_pkg -# -- Compiling entity tr_nonbonded_reg -# -- Compiling architecture rtl of tr_nonbonded_reg -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tr_nonbonded -# -- Compiling architecture str of tr_nonbonded -# -- Loading package tech_transceiver_component_pkg -# -- Loading entity tech_transceiver_gx -# -- Loading entity sim_transceiver_gx -# -- Loading entity dp_fifo_dc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tb_tr_nonbonded -# -- Compiling architecture str of tb_tr_nonbonded -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity tr_nonbonded -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diagnostics -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tb_tb_tr_nonbonded -# -- Compiling architecture tb of tb_tb_tr_nonbonded -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading entity tb_tr_nonbonded -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity mms_tr_nonbonded -# -- Compiling architecture str of mms_tr_nonbonded -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity tr_nonbonded -# -- Loading package diag_pkg -# -- Loading entity tr_nonbonded_reg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity mms_diagnostics -# -- Loading entity dp_mux -# -- Loading entity dp_demux -# -- Loading entity mms_diag_data_buffer -# [mk compile ip_stratixiv_tse_sgmii_lvds] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_tse_sgmii_lvds -# Compile of ip_stratixiv_tse_sgmii_lvds.vho was successful with warnings. -# Compile of tb_ip_stratixiv_tse_sgmii_lvds.vhd was successful. -# 2 compiles, 0 failed with no errors. -# [mk vmake ip_stratixiv_tse_sgmii_lvds] -# -# [mk make ip_stratixiv_tse_sgmii_lvds] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Loading package sgate_pack -# -- Compiling entity ip_stratixiv_tse_sgmii_lvds -# ** Warning: [13] /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/tse_sgmii_lvds/ip_stratixiv_tse_sgmii_lvds.vho(81): (vcom-2051) synthesis_off/translate_off is still in effect at the end of design-unit. -# -- Compiling architecture rtl of ip_stratixiv_tse_sgmii_lvds -# ** Warning: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/tse_sgmii_lvds/ip_stratixiv_tse_sgmii_lvds.vho(11663): Duplicate signals in sensitivity list. -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_ip_stratixiv_tse_sgmii_lvds -# -- Compiling architecture tb of tb_ip_stratixiv_tse_sgmii_lvds -# -- Loading package altera_mf_components -# -- Loading package sgate_pack -# -- Loading entity ip_stratixiv_tse_sgmii_lvds -# [mk compile ip_stratixiv_tse_sgmii_gx] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_tse_sgmii_gx -# Compile of ip_stratixiv_tse_sgmii_gx.vho was successful with warnings. -# [mk vmake ip_stratixiv_tse_sgmii_gx] -# -# [mk make ip_stratixiv_tse_sgmii_gx] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Loading package sgate_pack -# -- Loading package vital_timing -# -- Loading package vital_primitives -# -- Loading package stratixiv_hssi_components -# -- Compiling entity ip_stratixiv_tse_sgmii_gx -# ** Warning: [13] /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/tse_sgmii_gx/ip_stratixiv_tse_sgmii_gx.vho(88): (vcom-2051) synthesis_off/translate_off is still in effect at the end of design-unit. -# -- Compiling architecture rtl of ip_stratixiv_tse_sgmii_gx -# ** Warning: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/tse_sgmii_gx/ip_stratixiv_tse_sgmii_gx.vho(9621): Duplicate signals in sensitivity list. -# [mk compile tech_tse] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_tse -# Compile of tech_tse_component_pkg.vhd was successful. -# Compile of tech_tse_pkg.vhd was successful. -# Compile of tech_tse_stratixiv.vhd was successful. -# Compile of tech_tse_arria10.vhd was successful. -# Compile of tech_tse.vhd was successful. -# Compile of tb_tech_tse_pkg.vhd was successful. -# Compile of tb_tech_tse.vhd was successful. -# 7 compiles, 0 failed with no errors. -# [mk vmake tech_tse] -# -# [mk make tech_tse] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package tech_tse_pkg -# -- Compiling package body tech_tse_pkg -# -- Loading package tech_tse_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling package tech_tse_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package tech_tse_component_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package tech_tse_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tech_tse_stratixiv -# -- Compiling architecture str of tech_tse_stratixiv -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package tech_tse_component_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package tech_tse_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tech_tse_arria10 -# -- Compiling architecture str of tech_tse_arria10 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Compiling entity tech_tse -# -- Compiling architecture str of tech_tse -# -- Loading package tech_tse_component_pkg -# -- Loading entity tech_tse_stratixiv -# -- Loading entity tech_tse_arria10 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading package technology_pkg -# -- Loading package tech_tse_pkg -# -- Compiling package tb_tech_tse_pkg -# -- Compiling package body tb_tech_tse_pkg -# -- Loading package tb_tech_tse_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_tse_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tb_tech_tse_pkg -# -- Compiling entity tb_tech_tse -# -- Compiling architecture tb of tb_tech_tse -# -- Loading entity tech_tse -# [mk compile eth] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project eth -# Compile of eth_pkg.vhd was successful. -# Compile of eth_checksum.vhd was successful. -# Compile of eth_hdr_store.vhd was successful. -# Compile of eth_hdr_status.vhd was successful. -# Compile of eth_hdr_ctrl.vhd was successful. -# Compile of eth_hdr.vhd was successful. -# Compile of eth_crc_ctrl.vhd was successful. -# Compile of eth_crc_word.vhd was successful. -# Compile of eth_mm_registers.vhd was successful. -# Compile of eth_mm_reg_frame.vhd was successful. -# Compile of eth_udp_channel.vhd was successful. -# Compile of eth_buffer.vhd was successful. -# Compile of eth_control.vhd was successful. -# Compile of eth_ihl_to_20.vhd was successful. -# Compile of eth.vhd was successful. -# Compile of tb_eth_checksum.vhd was successful. -# Compile of tb_eth_crc_ctrl.vhd was successful. -# Compile of tb_eth_hdr.vhd was successful. -# Compile of tb_eth.vhd was successful. -# Compile of tb_tb_eth.vhd was successful. -# Compile of tb_eth_udp_offload.vhd was successful. -# Compile of tb_eth_ihl_to_20.vhd was successful. -# Compile of tb_tb_tb_eth_regression.vhd was successful. -# 23 compiles, 0 failed with no errors. -# [mk vmake eth] -# -# [mk make eth] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Compiling package eth_pkg -# -- Compiling package body eth_pkg -# -- Loading package eth_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_ihl_to_20 -# -- Compiling architecture rtl of eth_ihl_to_20 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity tb_eth_ihl_to_20 -# -- Compiling architecture tb of tb_eth_ihl_to_20 -# -- Loading entity eth_ihl_to_20 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_control -# -- Compiling architecture rtl of eth_control -# -- Loading entity dp_latency_adapter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_mm_reg_frame -# -- Compiling architecture str of eth_mm_reg_frame -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_crc_word -# -- Compiling architecture rtl of eth_crc_word -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_buffer -# -- Compiling architecture str of eth_buffer -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_frame_rd -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_udp_channel -# -- Compiling architecture rtl of eth_udp_channel -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_checksum -# -- Compiling architecture rtl of eth_checksum -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_hdr_status -# -- Compiling architecture rtl of eth_hdr_status -# -- Loading entity eth_checksum -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_hdr_store -# -- Compiling architecture rtl of eth_hdr_store -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_hdr_ctrl -# -- Compiling architecture rtl of eth_hdr_ctrl -# -- Loading entity dp_hold_input -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_hdr -# -- Compiling architecture str of eth_hdr -# -- Loading entity eth_hdr_ctrl -# -- Loading entity eth_hdr_store -# -- Loading entity eth_hdr_status -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_crc_ctrl -# -- Compiling architecture rtl of eth_crc_ctrl -# -- Loading entity dp_eop_extend -# -- Loading entity dp_shiftreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_mm_registers -# -- Compiling architecture str of eth_mm_registers -# -- Loading entity common_reg_r_w -# -- Loading entity common_spulse -# -- Loading entity common_reg_cross_domain -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity eth -# -- Compiling architecture str of eth -# -- Loading entity eth_mm_registers -# -- Loading entity common_ram_crw_crw -# -- Loading entity dp_latency_adapter -# -- Loading entity eth_crc_ctrl -# -- Loading entity eth_ihl_to_20 -# -- Loading entity eth_hdr -# -- Loading entity eth_udp_channel -# -- Loading entity dp_demux -# -- Loading entity eth_buffer -# -- Loading entity eth_crc_word -# -- Loading entity eth_mm_reg_frame -# -- Loading entity eth_control -# -- Loading entity dp_mux -# -- Loading entity tech_tse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Loading package technology_pkg -# -- Loading package tech_tse_pkg -# -- Loading package tb_tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity tb_eth_udp_offload -# -- Compiling architecture tb of tb_eth_udp_offload -# -- Loading package technology_select_pkg -# -- Loading entity eth -# -- Loading entity dp_hdr_insert -# -- Loading package dp_packet_pkg -# -- Loading entity dp_packet_enc -# -- Loading entity dp_frame_remove -# -- Loading entity dp_packet_dec -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity tb_eth_crc_ctrl -# -- Compiling architecture tb of tb_eth_crc_ctrl -# -- Loading entity eth_crc_ctrl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity tb_eth_checksum -# -- Compiling architecture tb of tb_eth_checksum -# -- Loading entity eth_checksum -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity tb_eth_hdr -# -- Compiling architecture tb of tb_eth_hdr -# -- Loading package common_network_total_header_pkg -# -- Loading entity eth_hdr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_tse_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tb_tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity tb_eth -# -- Compiling architecture tb of tb_eth -# -- Loading entity eth -# -- Loading entity tech_tse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tech_tse_pkg -# -- Loading package tb_tech_tse_pkg -# -- Compiling entity tb_tb_eth -# -- Compiling architecture tb of tb_tb_eth -# -- Loading package eth_pkg -# -- Loading entity tb_eth -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_tb_eth_regression -# -- Compiling architecture tb of tb_tb_tb_eth_regression -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tech_tse_pkg -# -- Loading package tb_tech_tse_pkg -# -- Loading entity tb_tb_eth -# -- Loading package eth_pkg -# -- Loading entity tb_eth_hdr -# -- Loading entity tb_eth_checksum -# -- Loading entity tb_eth_crc_ctrl -# -- Loading package common_str_pkg -# -- Loading entity tb_eth_udp_offload -# -- Loading entity tb_eth_ihl_to_20 -# [mk compile numonyx_m25p128] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project numonyx_m25p128 -# Compile of StringLib.vhd was successful. -# Compile of def.vhd was successful. -# Compile of CUIcommandData.vhd was successful. -# Compile of data.vhd was successful. -# Compile of BlockLib.vhd was successful. -# Compile of TimingData.vhd was successful. -# Compile of MemoryLib.vhd was successful. -# Compile of M25P128.vhd was successful with warnings. -# 8 compiles, 0 failed with no errors. -# [mk vmake numonyx_m25p128] -# -# [mk make numonyx_m25p128] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package def -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package def -# -- Compiling package timingdata -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Compiling package stringlib -# -- Compiling package body stringlib -# -- Loading package stringlib -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package cuicommanddata -# -- Compiling package body cuicommanddata -# -- Loading package cuicommanddata -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package def -# -- Loading package cuicommanddata -# -- Compiling package data -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package std_logic_arith -# -- Loading package def -# -- Loading package cuicommanddata -# -- Loading package data -# -- Loading package stringlib -# -- Compiling package memorylib -# -- Compiling package body memorylib -# -- Loading package memorylib -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package def -# -- Loading package cuicommanddata -# -- Loading package data -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package stringlib -# -- Compiling package blocklib -# -- Compiling package body blocklib -# -- Loading package blocklib -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_signed -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package stringlib -# -- Loading package def -# -- Loading package timingdata -# -- Loading package cuicommanddata -# -- Loading package data -# -- Compiling entity timingcheck_entity -# -- Compiling architecture behavior of timingcheck_entity -# -- Loading package blocklib -# -- Compiling entity blocklock_entity -# -- Compiling architecture behavior of blocklock_entity -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(210): (vcom-1236) Shared variables must be of a protected type. -# -- Loading package memorylib -# -- Compiling entity memory_entity -# -- Compiling architecture behavior of memory_entity -# -- Compiling entity program_entity -# -- Compiling architecture behavior of program_entity -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(566): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(567): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(568): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(569): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(570): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(571): (vcom-1236) Shared variables must be of a protected type. -# -- Compiling entity erase_entity -# -- Compiling architecture behavior of erase_entity -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(698): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(699): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(700): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(701): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(702): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(703): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(704): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(705): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(706): (vcom-1236) Shared variables must be of a protected type. -# -- Compiling entity statusregister_entity -# -- Compiling architecture behavior of statusregister_entity -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(854): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(855): (vcom-1236) Shared variables must be of a protected type. -# -- Compiling entity kernel_entity -# -- Compiling architecture behavior of kernel_entity -# -- Compiling entity cuidecoder_entity -# -- Compiling architecture behavior of cuidecoder_entity -# -- Compiling entity m25p128 -# -- Compiling architecture behavior of m25p128 -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(1522): (vcom-1236) Shared variables must be of a protected type. -# [mk compile ip_stratixiv_flash] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_flash -# Compile of ip_stratixiv_asmi_parallel.vhd was successful. -# Compile of ip_stratixiv_remote_update.vhd was successful. -# 2 compiles, 0 failed with no errors. -# [mk vmake ip_stratixiv_flash] -# -# [mk make ip_stratixiv_flash] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_remote_update_rmtupdt_jol -# -- Compiling architecture rtl of ip_stratixiv_remote_update_rmtupdt_jol -# -- Compiling entity ip_stratixiv_remote_update -# -- Compiling architecture rtl of ip_stratixiv_remote_update -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_asmi_parallel_altasmi_parallel_15a2 -# -- Compiling architecture rtl of ip_stratixiv_asmi_parallel_altasmi_parallel_15a2 -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package std_logic_arith -# -- Loading package def -# -- Loading package cuicommanddata -# -- Loading package data -# -- Loading package timingdata -# -- Loading package stringlib -# -- Loading package blocklib -# -- Loading entity m25p128 -# -- Compiling entity ip_stratixiv_asmi_parallel -# -- Compiling architecture rtl of ip_stratixiv_asmi_parallel -# [mk compile tech_flash] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_flash -# Compile of tech_flash_component_pkg.vhd was successful. -# Compile of tech_flash_asmi_parallel.vhd was successful. -# Compile of tech_flash_remote_update.vhd was successful. -# 3 compiles, 0 failed with no errors. -# [mk vmake tech_flash] -# -# [mk make tech_flash] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling package tech_flash_component_pkg -# -- Compiling package body tech_flash_component_pkg -# -- Loading package tech_flash_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package tech_flash_component_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_flash_remote_update -# -- Compiling architecture str of tech_flash_remote_update -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package tech_flash_component_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_flash_asmi_parallel -# -- Compiling architecture str of tech_flash_asmi_parallel -# [mk compile remu] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project remu -# Compile of remu_reg.vhd was successful. -# Compile of mms_remu.vhd was successful. -# 2 compiles, 0 failed with no errors. -# [mk vmake remu] -# -# [mk make remu] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity remu_reg -# -- Compiling architecture rtl of remu_reg -# -- Loading entity common_spulse -# -- Loading entity common_async -# -- Loading entity common_reg_cross_domain -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_flash_component_pkg -# -- Compiling entity mms_remu -# -- Compiling architecture str of mms_remu -# -- Loading entity tech_flash_remote_update -# -- Loading entity remu_reg -# -- Loading entity common_areset -# [mk compile ip_stratixiv_pll] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_pll -# Compile of ip_stratixiv_pll_clk200.vhd was successful. -# Compile of ip_stratixiv_pll_clk200_p6.vhd was successful. -# 2 compiles, 0 failed with no errors. -# [mk vmake ip_stratixiv_pll] -# -# [mk make ip_stratixiv_pll] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_pll_clk200_p6 -# -- Compiling architecture syn of ip_stratixiv_pll_clk200_p6 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_pll_clk200 -# -- Compiling architecture syn of ip_stratixiv_pll_clk200 -# [mk compile ip_stratixiv_pll_clk25] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_pll_clk25 -# Compile of ip_stratixiv_pll_clk25.vhd was successful. -# [mk vmake ip_stratixiv_pll_clk25] -# -# [mk make ip_stratixiv_pll_clk25] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_pll_clk25 -# -- Compiling architecture syn of ip_stratixiv_pll_clk25 -# [mk compile tech_pll] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_pll -# Compile of tech_pll_component_pkg.vhd was successful. -# Compile of tech_pll_clk200.vhd was successful. -# Compile of tech_pll_clk200_p6.vhd was successful. -# Compile of tech_pll_xgmii_mac_clocks.vhd was successful. -# Compile of tech_pll_clk25.vhd was successful. -# Compile of tech_pll_clk125.vhd was successful. -# 6 compiles, 0 failed with no errors. -# [mk vmake tech_pll] -# -# [mk make tech_pll] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package tech_pll_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_pll_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling entity tech_pll_xgmii_mac_clocks -# -- Compiling architecture str of tech_pll_xgmii_mac_clocks -# -- Loading entity common_areset -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_pll_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_pll_clk25 -# -- Compiling architecture str of tech_pll_clk25 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_pll_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_pll_clk200_p6 -# -- Compiling architecture str of tech_pll_clk200_p6 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_pll_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_pll_clk200 -# -- Compiling architecture str of tech_pll_clk200 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_pll_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_pll_clk125 -# -- Compiling architecture str of tech_pll_clk125 -# [mk compile epcs] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project epcs -# Compile of epcs_reg.vhd was successful. -# Compile of mms_epcs.vhd was successful. -# Compile of tb_mms_epcs.vhd was successful. -# 3 compiles, 0 failed with no errors. -# [mk vmake epcs] -# -# [mk make epcs] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity epcs_reg -# -- Compiling architecture rtl of epcs_reg -# -- Loading entity common_spulse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_flash_component_pkg -# -- Compiling entity mms_epcs -# -- Compiling architecture str of mms_epcs -# -- Loading entity epcs_reg -# -- Loading entity dp_fifo_dc_mixed_widths -# -- Loading entity tech_flash_asmi_parallel -# -- Loading entity mms_dp_fifo_to_mm -# -- Loading entity mms_dp_fifo_from_mm -# -- Loading entity common_areset -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Compiling entity tb_mms_epcs -# -- Compiling architecture str of tb_mms_epcs -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_flash_component_pkg -# -- Loading entity mms_epcs -# [mk compile unb1_board] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project unb1_board -# Compile of unb1_board_pkg.vhd was successful. -# Compile of unb1_board_system_info.vhd was successful. -# Compile of unb1_board_system_info_reg.vhd was successful. -# Compile of mms_unb1_board_system_info.vhd was successful. -# Compile of unb1_board_clk_rst.vhd was successful. -# Compile of unb1_board_clk200_pll.vhd was successful. -# Compile of unb1_board_clk25_pll.vhd was successful. -# Compile of unb1_board_wdi_extend.vhd was successful. -# Compile of unb1_board_node_ctrl.vhd was successful. -# Compile of unb1_board_sens_ctrl.vhd was successful. -# Compile of unb1_board_sens.vhd was successful. -# Compile of unb1_board_sens_reg.vhd was successful. -# Compile of mms_unb1_board_sens.vhd was successful. -# Compile of unb1_board_wdi_reg.vhd was successful. -# Compile of ctrl_unb1_board.vhd was successful. -# Compile of unb1_board_front_io.vhd was successful. -# Compile of unb1_board_mesh_io.vhd was successful. -# Compile of unb1_board_mesh_reorder_tx.vhd was successful. -# Compile of unb1_board_mesh_reorder_rx.vhd was successful. -# Compile of unb1_board_mesh_reorder_bidir.vhd was successful. -# Compile of unb1_board_mesh_uth_terminals_bidir.vhd was successful. -# Compile of unb1_board_back_io.vhd was successful. -# Compile of unb1_board_back_select.vhd was successful. -# Compile of unb1_board_back_reorder.vhd was successful. -# Compile of unb1_board_back_uth_terminals_bidir.vhd was successful. -# Compile of unb1_board_terminals_mesh.vhd was successful. -# Compile of unb1_board_terminals_back.vhd was successful. -# Compile of unb1_board_peripherals_pkg.vhd was successful. -# Compile of node_unb1_fn_terminal_db.vhd was successful. -# Compile of tb_unb1_board_pkg.vhd was successful. -# Compile of tb_mms_unb1_board_sens.vhd was successful. -# Compile of tb_unb1_board_clk200_pll.vhd was successful. -# Compile of tb_unb1_board_node_ctrl.vhd was successful. -# Compile of unb1_board_mesh_model_sosi.vhd was successful. -# Compile of unb1_board_mesh_model_siso.vhd was successful. -# Compile of unb1_board_mesh_model_sl.vhd was successful. -# Compile of unb1_board_back_model_sosi.vhd was successful. -# Compile of unb1_board_back_model_sl.vhd was successful. -# Compile of tb_unb1_board_mesh_reorder_bidir.vhd was successful. -# Compile of tb_tb_tb_unb1_board_regression.vhd was successful. -# 40 compiles, 0 failed with no errors. -# [mk vmake unb1_board] -# -# [mk make unb1_board] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity unb1_board_wdi_reg -# -- Compiling architecture rtl of unb1_board_wdi_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity unb1_board_wdi_extend -# -- Compiling architecture str of unb1_board_wdi_extend -# -- Loading entity common_evt -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling package unb1_board_pkg -# -- Compiling package body unb1_board_pkg -# -- Loading package unb1_board_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_mesh_reorder_rx -# -- Compiling architecture rtl of unb1_board_mesh_reorder_rx -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_mesh_reorder_tx -# -- Compiling architecture rtl of unb1_board_mesh_reorder_tx -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package dp_packet_pkg -# -- Loading package unb1_board_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Compiling entity unb1_board_mesh_uth_terminals_bidir -# -- Compiling architecture str of unb1_board_mesh_uth_terminals_bidir -# -- Loading entity uth_terminal_bidir -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package dp_packet_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Compiling entity unb1_board_terminals_mesh -# -- Compiling architecture str of unb1_board_terminals_mesh -# -- Loading package diag_pkg -# -- Loading entity mms_diag_data_buffer -# -- Loading entity unb1_board_mesh_uth_terminals_bidir -# -- Loading entity unb1_board_mesh_reorder_tx -# -- Loading entity unb1_board_mesh_reorder_rx -# -- Loading entity mms_tr_nonbonded -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package dp_packet_pkg -# -- Loading package unb1_board_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Compiling entity unb1_board_back_uth_terminals_bidir -# -- Compiling architecture str of unb1_board_back_uth_terminals_bidir -# -- Loading entity uth_terminal_bidir -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_back_reorder -# -- Compiling architecture rtl of unb1_board_back_reorder -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_back_select -# -- Compiling architecture rtl of unb1_board_back_select -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package dp_packet_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Compiling entity unb1_board_terminals_back -# -- Compiling architecture str of unb1_board_terminals_back -# -- Loading entity unb1_board_back_select -# -- Loading entity unb1_board_back_reorder -# -- Loading entity unb1_board_back_uth_terminals_bidir -# -- Loading entity mms_tr_nonbonded -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_system_info_reg -# -- Compiling architecture rtl of unb1_board_system_info_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_system_info -# -- Compiling architecture str of unb1_board_system_info -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity unb1_board_sens_reg -# -- Compiling architecture rtl of unb1_board_sens_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_dev_max1617_pkg -# -- Loading package i2c_dev_ltc4260_pkg -# -- Compiling entity unb1_board_sens_ctrl -# -- Compiling architecture rtl of unb1_board_sens_ctrl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_pkg -# -- Compiling entity unb1_board_sens -# -- Compiling architecture str of unb1_board_sens -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_dev_max1617_pkg -# -- Loading package i2c_dev_ltc4260_pkg -# -- Loading entity unb1_board_sens_ctrl -# -- Loading package std_logic_arith -# -- Loading entity i2c_smbus -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package unb1_board_peripherals_pkg -# -- Compiling package body unb1_board_peripherals_pkg -# -- Loading package unb1_board_peripherals_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity unb1_board_clk_rst -# -- Compiling architecture str of unb1_board_clk_rst -# -- Loading entity common_areset -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity unb1_board_node_ctrl -# -- Compiling architecture str of unb1_board_node_ctrl -# -- Loading entity unb1_board_clk_rst -# -- Loading entity common_areset -# -- Loading entity common_pulser_us_ms_s -# -- Loading entity unb1_board_wdi_extend -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_mesh_reorder_bidir -# -- Compiling architecture str of unb1_board_mesh_reorder_bidir -# -- Loading entity unb1_board_mesh_reorder_tx -# -- Loading entity unb1_board_mesh_reorder_rx -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_mesh_model_sosi -# -- Compiling architecture beh of unb1_board_mesh_model_sosi -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling package tb_unb1_board_pkg -# -- Compiling package body tb_unb1_board_pkg -# -- Loading package tb_unb1_board_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package tb_unb1_board_pkg -# -- Compiling entity unb1_board_mesh_model_sl -# -- Compiling architecture beh of unb1_board_mesh_model_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_mesh_model_siso -# -- Compiling architecture beh of unb1_board_mesh_model_siso -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_mesh_io -# -- Compiling architecture str of unb1_board_mesh_io -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_front_io -# -- Compiling architecture str of unb1_board_front_io -# -- Loading entity common_inout -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package technology_pkg -# -- Compiling entity unb1_board_clk25_pll -# -- Compiling architecture stratixiv of unb1_board_clk25_pll -# -- Loading package tech_pll_component_pkg -# -- Loading package technology_select_pkg -# -- Loading entity tech_pll_clk25 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package technology_pkg -# -- Compiling entity unb1_board_clk200_pll -# -- Compiling architecture stratix4 of unb1_board_clk200_pll -# -- Loading package tech_pll_component_pkg -# -- Loading package technology_select_pkg -# -- Loading entity tech_pll_clk200 -# -- Loading entity tech_pll_clk200_p6 -# -- Loading entity common_areset -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package tb_unb1_board_pkg -# -- Compiling entity unb1_board_back_model_sosi -# -- Compiling architecture beh of unb1_board_back_model_sosi -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package tb_unb1_board_pkg -# -- Compiling entity unb1_board_back_model_sl -# -- Compiling architecture beh of unb1_board_back_model_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_back_io -# -- Compiling architecture str of unb1_board_back_io -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_unb1_board_node_ctrl -# -- Compiling architecture tb of tb_unb1_board_node_ctrl -# -- Loading entity unb1_board_node_ctrl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package tb_unb1_board_pkg -# -- Compiling entity tb_unb1_board_mesh_reorder_bidir -# -- Compiling architecture tb of tb_unb1_board_mesh_reorder_bidir -# -- Loading entity unb1_board_mesh_reorder_bidir -# -- Loading entity unb1_board_mesh_model_sl -# -- Loading entity unb1_board_mesh_model_sosi -# -- Loading entity unb1_board_mesh_model_siso -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_unb1_board_clk200_pll -# -- Compiling architecture tb of tb_unb1_board_clk200_pll -# -- Loading package technology_pkg -# -- Loading entity unb1_board_clk200_pll -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity mms_unb1_board_sens -# -- Compiling architecture str of mms_unb1_board_sens -# -- Loading entity unb1_board_sens_reg -# -- Loading package i2c_pkg -# -- Loading entity unb1_board_sens -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Compiling entity tb_mms_unb1_board_sens -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Compiling architecture tb of tb_mms_unb1_board_sens -# -- Loading entity mms_unb1_board_sens -# -- Loading package i2c_dev_max1617_pkg -# -- Loading entity dev_max1618 -# -- Loading package i2c_dev_ltc4260_pkg -# -- Loading entity dev_ltc4260 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_tb_unb1_board_regression -# -- Compiling architecture tb of tb_tb_tb_unb1_board_regression -# -- Loading entity tb_mms_unb1_board_sens -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package tb_unb1_board_pkg -# -- Loading entity tb_unb1_board_mesh_reorder_bidir -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package unb1_board_peripherals_pkg -# -- Compiling entity node_unb1_fn_terminal_db -# -- Compiling architecture str of node_unb1_fn_terminal_db -# -- Loading package dp_packet_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Loading entity unb1_board_terminals_mesh -# -- Loading entity dp_bsn_align -# -- Loading entity mms_dp_bsn_monitor -# -- Loading package diag_pkg -# -- Loading entity mms_diag_data_buffer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity mms_unb1_board_system_info -# -- Compiling architecture str of mms_unb1_board_system_info -# -- Loading entity unb1_board_system_info -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading entity unb1_board_system_info_reg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_rom -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package i2c_pkg -# -- Loading package technology_pkg -# -- Loading package tech_tse_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package eth_pkg -# -- Compiling entity ctrl_unb1_board -# -- Compiling architecture str of ctrl_unb1_board -# -- Loading entity unb1_board_clk200_pll -# -- Loading entity unb1_board_clk25_pll -# -- Loading entity unb1_board_node_ctrl -# -- Loading entity mms_unb1_board_system_info -# -- Loading entity common_toggle -# -- Loading entity unb1_board_wdi_reg -# -- Loading package technology_select_pkg -# -- Loading package tech_flash_component_pkg -# -- Loading entity mms_remu -# -- Loading entity mms_epcs -# -- Loading entity mms_ppsh -# -- Loading entity mms_unb1_board_sens -# -- Loading package common_network_total_header_pkg -# -- Loading entity eth -# [mk compile ip_stratixiv_mac_10g] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_mac_10g -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/mac_10g/compile_ip.tcl -# [mk execute ip_stratixiv_mac_10g] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/mac_10g/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/mac_10g/generated/ip_stratixiv_mac_10g_sim -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Compiling entity altera_avalon_st_handshake_clock_crosser_0001 -# -- Compiling architecture rtl of altera_avalon_st_handshake_clock_crosser_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_multiplexer_0003 -# -- Compiling architecture rtl of altera_merlin_multiplexer_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0006 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0006 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0005 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_multiplexer_0002 -# -- Compiling architecture rtl of altera_merlin_multiplexer_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0004 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0003 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_multiplexer_0001 -# -- Compiling architecture rtl of altera_merlin_multiplexer_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0002 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0001 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_reset_controller_0001 -# -- Compiling architecture rtl of altera_reset_controller_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_traffic_limiter_0003 -# -- Compiling architecture rtl of altera_merlin_traffic_limiter_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_traffic_limiter_0002 -# -- Compiling architecture rtl of altera_merlin_traffic_limiter_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_traffic_limiter_0001 -# -- Compiling architecture rtl of altera_merlin_traffic_limiter_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0006 -# -- Compiling architecture rtl of altera_merlin_router_0006 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0005 -# -- Compiling architecture rtl of altera_merlin_router_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0004 -# -- Compiling architecture rtl of altera_merlin_router_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0003 -# -- Compiling architecture rtl of altera_merlin_router_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0002 -# -- Compiling architecture rtl of altera_merlin_router_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0001 -# -- Compiling architecture rtl of altera_merlin_router_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0017 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0017 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_agent_0003 -# -- Compiling architecture rtl of altera_merlin_master_agent_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0016 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0016 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0015 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0015 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0014 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0014 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0013 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0013 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0012 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0012 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0011 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0011 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0010 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0010 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0009 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0009 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0008 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0008 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0007 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0007 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_agent_0002 -# -- Compiling architecture rtl of altera_merlin_master_agent_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0006 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0006 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0005 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_sc_fifo_0004 -# -- Compiling architecture rtl of altera_avalon_sc_fifo_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0004 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_sc_fifo_0003 -# -- Compiling architecture rtl of altera_avalon_sc_fifo_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0003 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0002 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_sc_fifo_0002 -# -- Compiling architecture rtl of altera_avalon_sc_fifo_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_sc_fifo_0001 -# -- Compiling architecture rtl of altera_avalon_sc_fifo_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0001 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_agent_0001 -# -- Compiling architecture rtl of altera_merlin_master_agent_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0007 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0007 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0006 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0006 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0005 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0004 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0003 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0002 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_translator_0003 -# -- Compiling architecture rtl of altera_merlin_master_translator_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0001 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_translator_0002 -# -- Compiling architecture rtl of altera_merlin_master_translator_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0011 -# -- Compiling architecture rtl of timing_adapter_0011 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Loading package sgate_pack -# -- Compiling entity altera_avalon_dc_fifo_0002 -# -- Compiling architecture rtl of altera_avalon_dc_fifo_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0010 -# -- Compiling architecture rtl of timing_adapter_0010 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Loading package sgate_pack -# -- Compiling entity altera_avalon_dc_fifo_0001 -# -- Compiling architecture rtl of altera_avalon_dc_fifo_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0009 -# -- Compiling architecture rtl of timing_adapter_0009 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0005 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0008 -# -- Compiling architecture rtl of timing_adapter_0008 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_delay_0002 -# -- Compiling architecture rtl of altera_avalon_st_delay_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity error_adapter_0003 -# -- Compiling architecture rtl of error_adapter_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_delay_0001 -# -- Compiling architecture rtl of altera_avalon_st_delay_0001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_st_pipeline_stage -# -# Top level modules: -# altera_avalon_st_pipeline_stage -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_st_pipeline_base -# -# Top level modules: -# altera_avalon_st_pipeline_base -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0007 -# -- Compiling architecture rtl of timing_adapter_0007 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0004 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0006 -# -- Compiling architecture rtl of timing_adapter_0006 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0005 -# -- Compiling architecture rtl of timing_adapter_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0003 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0004 -# -- Compiling architecture rtl of timing_adapter_0004 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0002 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0003 -# -- Compiling architecture rtl of timing_adapter_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0002 -# -- Compiling architecture rtl of timing_adapter_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity error_adapter_0002 -# -- Compiling architecture rtl of error_adapter_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_st_pipeline_stage -# -# Top level modules: -# altera_avalon_st_pipeline_stage -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_st_pipeline_base -# -# Top level modules: -# altera_avalon_st_pipeline_base -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0001 -# -- Compiling architecture rtl of timing_adapter_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0001 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_pipeline_stage_0001 -# -- Compiling architecture rtl of altera_avalon_st_pipeline_stage_0001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity multiplexer_0001 -# -- Compiling architecture rtl of multiplexer_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity error_adapter_0001 -# -- Compiling architecture rtl of error_adapter_0001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_mm_bridge_0001 -# -- Compiling architecture rtl of altera_avalon_mm_bridge_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_translator_0001 -# -- Compiling architecture rtl of altera_merlin_master_translator_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g -# -- Compiling architecture rtl of ip_stratixiv_mac_10g -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_tx_eth_pkt_backpressure_control -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_tx_eth_pkt_backpressure_control -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_rx_eth_pkt_backpressure_control -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_rx_eth_pkt_backpressure_control -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_tx_eth_frame_decoder -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_tx_eth_frame_decoder -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_rx_eth_frame_decoder -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_rx_eth_frame_decoder -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_tx_eth_crc_inserter -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_tx_eth_crc_inserter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_rx_eth_crc_checker -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_rx_eth_crc_checker -# Compile of ip_stratixiv_mac_10g.vhd was successful. -# [mk vmake ip_stratixiv_mac_10g] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/mac_10g/compile_ip.tcl -# [mk execute ip_stratixiv_mac_10g] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/mac_10g/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/mac_10g/generated/ip_stratixiv_mac_10g_sim -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Compiling entity altera_avalon_st_handshake_clock_crosser_0001 -# -- Compiling architecture rtl of altera_avalon_st_handshake_clock_crosser_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_multiplexer_0003 -# -- Compiling architecture rtl of altera_merlin_multiplexer_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0006 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0006 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0005 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_multiplexer_0002 -# -- Compiling architecture rtl of altera_merlin_multiplexer_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0004 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0003 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_multiplexer_0001 -# -- Compiling architecture rtl of altera_merlin_multiplexer_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0002 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0001 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_reset_controller_0001 -# -- Compiling architecture rtl of altera_reset_controller_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_traffic_limiter_0003 -# -- Compiling architecture rtl of altera_merlin_traffic_limiter_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_traffic_limiter_0002 -# -- Compiling architecture rtl of altera_merlin_traffic_limiter_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_traffic_limiter_0001 -# -- Compiling architecture rtl of altera_merlin_traffic_limiter_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0006 -# -- Compiling architecture rtl of altera_merlin_router_0006 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0005 -# -- Compiling architecture rtl of altera_merlin_router_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0004 -# -- Compiling architecture rtl of altera_merlin_router_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0003 -# -- Compiling architecture rtl of altera_merlin_router_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0002 -# -- Compiling architecture rtl of altera_merlin_router_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0001 -# -- Compiling architecture rtl of altera_merlin_router_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0017 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0017 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_agent_0003 -# -- Compiling architecture rtl of altera_merlin_master_agent_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0016 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0016 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0015 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0015 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0014 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0014 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0013 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0013 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0012 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0012 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0011 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0011 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0010 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0010 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0009 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0009 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0008 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0008 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0007 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0007 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_agent_0002 -# -- Compiling architecture rtl of altera_merlin_master_agent_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0006 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0006 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0005 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_sc_fifo_0004 -# -- Compiling architecture rtl of altera_avalon_sc_fifo_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0004 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_sc_fifo_0003 -# -- Compiling architecture rtl of altera_avalon_sc_fifo_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0003 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0002 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_sc_fifo_0002 -# -- Compiling architecture rtl of altera_avalon_sc_fifo_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_sc_fifo_0001 -# -- Compiling architecture rtl of altera_avalon_sc_fifo_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0001 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_agent_0001 -# -- Compiling architecture rtl of altera_merlin_master_agent_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0007 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0007 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0006 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0006 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0005 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0004 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0003 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0002 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_translator_0003 -# -- Compiling architecture rtl of altera_merlin_master_translator_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0001 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_translator_0002 -# -- Compiling architecture rtl of altera_merlin_master_translator_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0011 -# -- Compiling architecture rtl of timing_adapter_0011 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Loading package sgate_pack -# -- Compiling entity altera_avalon_dc_fifo_0002 -# -- Compiling architecture rtl of altera_avalon_dc_fifo_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0010 -# -- Compiling architecture rtl of timing_adapter_0010 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Loading package sgate_pack -# -- Compiling entity altera_avalon_dc_fifo_0001 -# -- Compiling architecture rtl of altera_avalon_dc_fifo_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0009 -# -- Compiling architecture rtl of timing_adapter_0009 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0005 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0008 -# -- Compiling architecture rtl of timing_adapter_0008 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_delay_0002 -# -- Compiling architecture rtl of altera_avalon_st_delay_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity error_adapter_0003 -# -- Compiling architecture rtl of error_adapter_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_delay_0001 -# -- Compiling architecture rtl of altera_avalon_st_delay_0001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_st_pipeline_stage -# -# Top level modules: -# altera_avalon_st_pipeline_stage -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_st_pipeline_base -# -# Top level modules: -# altera_avalon_st_pipeline_base -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0007 -# -- Compiling architecture rtl of timing_adapter_0007 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0004 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0006 -# -- Compiling architecture rtl of timing_adapter_0006 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0005 -# -- Compiling architecture rtl of timing_adapter_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0003 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0004 -# -- Compiling architecture rtl of timing_adapter_0004 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0002 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0003 -# -- Compiling architecture rtl of timing_adapter_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0002 -# -- Compiling architecture rtl of timing_adapter_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity error_adapter_0002 -# -- Compiling architecture rtl of error_adapter_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_st_pipeline_stage -# -# Top level modules: -# altera_avalon_st_pipeline_stage -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_st_pipeline_base -# -# Top level modules: -# altera_avalon_st_pipeline_base -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0001 -# -- Compiling architecture rtl of timing_adapter_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0001 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_pipeline_stage_0001 -# -- Compiling architecture rtl of altera_avalon_st_pipeline_stage_0001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity multiplexer_0001 -# -- Compiling architecture rtl of multiplexer_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity error_adapter_0001 -# -- Compiling architecture rtl of error_adapter_0001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_mm_bridge_0001 -# -- Compiling architecture rtl of altera_avalon_mm_bridge_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_translator_0001 -# -- Compiling architecture rtl of altera_merlin_master_translator_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g -# -- Compiling architecture rtl of ip_stratixiv_mac_10g -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_tx_eth_pkt_backpressure_control -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_tx_eth_pkt_backpressure_control -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_rx_eth_pkt_backpressure_control -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_rx_eth_pkt_backpressure_control -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_tx_eth_frame_decoder -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_tx_eth_frame_decoder -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_rx_eth_frame_decoder -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_rx_eth_frame_decoder -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_tx_eth_crc_inserter -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_tx_eth_crc_inserter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_rx_eth_crc_checker -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_rx_eth_crc_checker -# [mk make ip_stratixiv_mac_10g] -# -# [mk compile tech_mac_10g] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_mac_10g -# Compile of tech_mac_10g_component_pkg.vhd was successful. -# Compile of tech_mac_10g_stratixiv.vhd was successful. -# Compile of tech_mac_10g_arria10.vhd was successful. -# Compile of tech_mac_10g.vhd was successful. -# Compile of tb_tech_mac_10g_pkg.vhd was successful. -# Compile of tb_tech_mac_10g_setup.vhd was successful. -# Compile of tb_tech_mac_10g_transmitter.vhd was successful. -# Compile of tb_tech_mac_10g_receiver.vhd was successful. -# Compile of tb_tech_mac_10g_link_connect.vhd was successful. -# Compile of tb_tech_mac_10g_verify_rx_at_eop.vhd was successful. -# Compile of tb_tech_mac_10g_verify_rx_pkt_cnt.vhd was successful. -# Compile of tb_tech_mac_10g_simulation_end.vhd was successful. -# Compile of tb_tech_mac_10g.vhd was successful. -# Compile of tb_tb_tech_mac_10g.vhd was successful. -# 14 compiles, 0 failed with no errors. -# [mk vmake tech_mac_10g] -# -# [mk make tech_mac_10g] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package technology_pkg -# -- Compiling package tech_mac_10g_component_pkg -# -- Compiling package body tech_mac_10g_component_pkg -# -- Loading package tech_mac_10g_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Compiling entity tech_mac_10g_stratixiv -# -- Compiling architecture str of tech_mac_10g_stratixiv -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Compiling entity tech_mac_10g_arria10 -# -- Compiling architecture str of tech_mac_10g_arria10 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Compiling entity tech_mac_10g -# -- Compiling architecture str of tech_mac_10g -# -- Loading entity tech_mac_10g_stratixiv -# -- Loading entity tech_mac_10g_arria10 -# -- Loading entity dp_pad_remove -# -- Loading entity dp_latency_adapter -# -- Loading entity dp_latency_fifo -# -- Loading entity dp_pad_insert -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tb_tech_mac_10g_verify_rx_pkt_cnt -# -- Compiling architecture tb of tb_tech_mac_10g_verify_rx_pkt_cnt -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package technology_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Compiling package tb_tech_mac_10g_pkg -# -- Compiling package body tb_tech_mac_10g_pkg -# -- Loading package tb_tech_mac_10g_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package technology_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Compiling entity tb_tech_mac_10g_transmitter -# -- Compiling architecture tb of tb_tech_mac_10g_transmitter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_tech_mac_10g_simulation_end -# -- Compiling architecture tb of tb_tech_mac_10g_simulation_end -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Compiling entity tb_tech_mac_10g_setup -# -- Compiling architecture tb of tb_tech_mac_10g_setup -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Compiling entity tb_tech_mac_10g_link_connect -# -- Compiling architecture tb of tb_tech_mac_10g_link_connect -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package technology_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Compiling entity tb_tech_mac_10_verify_rx_at_eop -# -- Compiling architecture tb of tb_tech_mac_10_verify_rx_at_eop -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package technology_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Compiling entity tb_tech_mac_10_receiver -# -- Compiling architecture tb of tb_tech_mac_10_receiver -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Compiling entity tb_tech_mac_10g -# -- Compiling architecture tb of tb_tech_mac_10g -# -- Loading entity tb_tech_mac_10g_setup -# -- Loading entity tb_tech_mac_10g_transmitter -# -- Loading entity tech_mac_10g -# -- Loading entity tb_tech_mac_10g_link_connect -# -- Loading entity tb_tech_mac_10_receiver -# -- Loading entity tb_tech_mac_10_verify_rx_at_eop -# -- Loading entity tb_tech_mac_10g_verify_rx_pkt_cnt -# -- Loading entity tb_tech_mac_10g_simulation_end -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Compiling entity tb_tb_tech_mac_10g -# -- Compiling architecture tb of tb_tb_tech_mac_10g -# -- Loading entity tb_tech_mac_10g -# [mk compile tech_10gbase_r] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_10gbase_r -# Compile of sim_10gbase_r.vhd was successful. -# Compile of tech_10gbase_r_component_pkg.vhd was successful. -# Compile of tech_10gbase_r_arria10.vhd was successful. -# Compile of tech_10gbase_r.vhd was successful. -# Compile of tb_tech_10gbase_r.vhd was successful. -# 5 compiles, 0 failed with no errors. -# [mk vmake tech_10gbase_r] -# -# [mk make tech_10gbase_r] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package tech_10gbase_r_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package tech_pll_component_pkg -# -- Loading package tech_10gbase_r_component_pkg -# -- Compiling entity tech_10gbase_r_arria10 -# -- Compiling architecture str of tech_10gbase_r_arria10 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package tech_pll_component_pkg -# -- Compiling entity sim_10gbase_r -# -- Compiling architecture str of sim_10gbase_r -# -- Loading entity common_areset -# -- Loading entity sim_transceiver_serializer -# -- Loading entity sim_transceiver_deserializer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Compiling entity tech_10gbase_r -# -- Compiling architecture str of tech_10gbase_r -# -- Loading package tech_pll_component_pkg -# -- Loading package tech_10gbase_r_component_pkg -# -- Loading entity tech_10gbase_r_arria10 -# -- Loading entity sim_10gbase_r -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_pll_component_pkg -# -- Compiling entity tb_tech_10gbase_r -# -- Compiling architecture tb of tb_tech_10gbase_r -# -- Loading entity tech_pll_xgmii_mac_clocks -# -- Loading entity tech_10gbase_r -# [mk compile ip_stratixiv_phy_xaui] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_phy_xaui -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/compile_ip.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/compile_ip_soft.tcl -# [mk execute ip_stratixiv_phy_xaui] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package altera_xcvr_functions -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package altera_xcvr_xaui_sv_unit -# -- Compiling module altera_xcvr_xaui -# -# Top level modules: -# altera_xcvr_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package hxaui_csr_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module hxaui_csr -# -- Importing package hxaui_csr_h -# -# Top level modules: -# hxaui_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec_phyreconfig -# -# Top level modules: -# alt_xcvr_mgmt2dec_phyreconfig -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec_xaui -# -# Top level modules: -# alt_xcvr_mgmt2dec_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_pma_ch_controller_tgx -# -# Top level modules: -# alt_pma_ch_controller_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_pma_controller_tgx -# -# Top level modules: -# alt_pma_controller_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_reset_ctrl_lego -# -# Top level modules: -# alt_reset_ctrl_lego -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_reset_ctrl_tgx_cdrauto -# -# Top level modules: -# alt_reset_ctrl_tgx_cdrauto -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_resync -# -# Top level modules: -# alt_xcvr_resync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_csr_common_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_csr_common -# -- Importing package alt_xcvr_csr_common_h -# -# Top level modules: -# alt_xcvr_csr_common -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_csr_pcs8g_h -# -- Importing package alt_xcvr_csr_common_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_csr_pcs8g -# -- Importing package alt_xcvr_csr_common_h -# -- Importing package alt_xcvr_csr_pcs8g_h -# -# Top level modules: -# alt_xcvr_csr_pcs8g -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module csr_mux -# -- Compiling module csr_indexed_write_mux -# -- Compiling module csr_indexed_read_only_reg -# -# Top level modules: -# csr_indexed_write_mux -# csr_indexed_read_only_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec -# -# Top level modules: -# alt_xcvr_mgmt2dec -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_wait_generate -# -# Top level modules: -# altera_wait_generate -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module hxaui_alt4gxb_alt4gxb_dksa -# -- Compiling module hxaui_alt4gxb -# -# Top level modules: -# hxaui_alt4gxb -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module hxaui -# -# Top level modules: -# hxaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module siv_xcvr_xaui -# -# Top level modules: -# siv_xcvr_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_reconfig_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_siv -# -# Top level modules: -# alt_xcvr_reconfig_siv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_analog -# -# Top level modules: -# alt_xcvr_reconfig_analog -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_analog_tgx -# -# Top level modules: -# alt_xcvr_reconfig_analog_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_offset_cancellation -# -# Top level modules: -# alt_xcvr_reconfig_offset_cancellation -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_offset_cancellation_tgx -# -# Top level modules: -# alt_xcvr_reconfig_offset_cancellation_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_eyemon_tgx -# -# Top level modules: -# alt_xcvr_reconfig_eyemon_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_dfe_tgx -# -# Top level modules: -# alt_xcvr_reconfig_dfe_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_basic_tgx -# -# Top level modules: -# alt_xcvr_reconfig_basic_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mutex_acq -# -# Top level modules: -# alt_mutex_acq -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_dprio -# -# Top level modules: -# alt_dprio -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_arbiter -# -# Top level modules: -# alt_xcvr_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_m2s -# -# Top level modules: -# alt_xcvr_m2s -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/compile_ip_soft.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package altera_xcvr_functions -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_pma_functions -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package altera_xcvr_xaui_sv_unit -# -- Compiling module altera_xcvr_xaui -# -# Top level modules: -# altera_xcvr_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package hxaui_csr_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module hxaui_csr -# -- Importing package hxaui_csr_h -# -# Top level modules: -# hxaui_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec_phyreconfig -# -# Top level modules: -# alt_xcvr_mgmt2dec_phyreconfig -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec_xaui -# -# Top level modules: -# alt_xcvr_mgmt2dec_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_pma_ch_controller_tgx -# -# Top level modules: -# alt_pma_ch_controller_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_pma_controller_tgx -# -# Top level modules: -# alt_pma_controller_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_reset_ctrl_lego -# -# Top level modules: -# alt_reset_ctrl_lego -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_reset_ctrl_tgx_cdrauto -# -# Top level modules: -# alt_reset_ctrl_tgx_cdrauto -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_resync -# -# Top level modules: -# alt_xcvr_resync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_csr_common_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_csr_common -# -- Importing package alt_xcvr_csr_common_h -# -# Top level modules: -# alt_xcvr_csr_common -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_csr_pcs8g_h -# -- Importing package alt_xcvr_csr_common_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_csr_pcs8g -# -- Importing package alt_xcvr_csr_common_h -# -- Importing package alt_xcvr_csr_pcs8g_h -# -# Top level modules: -# alt_xcvr_csr_pcs8g -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module csr_mux -# -- Compiling module csr_indexed_write_mux -# -- Compiling module csr_indexed_read_only_reg -# -# Top level modules: -# csr_indexed_write_mux -# csr_indexed_read_only_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec -# -# Top level modules: -# alt_xcvr_mgmt2dec -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_wait_generate -# -# Top level modules: -# altera_wait_generate -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sxaui -# -# Top level modules: -# sxaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module siv_xcvr_low_latency_phy_nr -# -# Top level modules: -# siv_xcvr_low_latency_phy_nr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module siv_xcvr_xaui -# -# Top level modules: -# siv_xcvr_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt4gxb -# -# Top level modules: -# alt4gxb -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_reconfig_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_siv -# -# Top level modules: -# alt_xcvr_reconfig_siv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_analog -# -# Top level modules: -# alt_xcvr_reconfig_analog -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_analog_tgx -# -# Top level modules: -# alt_xcvr_reconfig_analog_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_offset_cancellation -# -# Top level modules: -# alt_xcvr_reconfig_offset_cancellation -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_offset_cancellation_tgx -# -# Top level modules: -# alt_xcvr_reconfig_offset_cancellation_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_eyemon_tgx -# -# Top level modules: -# alt_xcvr_reconfig_eyemon_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_dfe_tgx -# -# Top level modules: -# alt_xcvr_reconfig_dfe_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_basic_tgx -# -# Top level modules: -# alt_xcvr_reconfig_basic_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mutex_acq -# -# Top level modules: -# alt_mutex_acq -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_dprio -# -# Top level modules: -# alt_dprio -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_arbiter -# -# Top level modules: -# alt_xcvr_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_m2s -# -# Top level modules: -# alt_xcvr_m2s -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Compile of ip_stratixiv_phy_xaui_0.vhd was successful. -# Compile of ip_stratixiv_phy_xaui_1.vhd was successful. -# Compile of ip_stratixiv_phy_xaui_2.vhd was successful. -# Compile of ip_stratixiv_phy_xaui_soft.vhd was successful. -# Compile of tb_ip_stratixiv_phy_xaui.vhd was successful. -# Compile of tb_ip_stratixiv_phy_xaui_ppm.vhd was successful. -# 6 compiles, 0 failed with no errors. -# [mk vmake ip_stratixiv_phy_xaui] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/compile_ip.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/compile_ip_soft.tcl -# [mk execute ip_stratixiv_phy_xaui] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package altera_xcvr_functions -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package altera_xcvr_xaui_sv_unit -# -- Compiling module altera_xcvr_xaui -# -# Top level modules: -# altera_xcvr_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package hxaui_csr_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module hxaui_csr -# -- Importing package hxaui_csr_h -# -# Top level modules: -# hxaui_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec_phyreconfig -# -# Top level modules: -# alt_xcvr_mgmt2dec_phyreconfig -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec_xaui -# -# Top level modules: -# alt_xcvr_mgmt2dec_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_pma_ch_controller_tgx -# -# Top level modules: -# alt_pma_ch_controller_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_pma_controller_tgx -# -# Top level modules: -# alt_pma_controller_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_reset_ctrl_lego -# -# Top level modules: -# alt_reset_ctrl_lego -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_reset_ctrl_tgx_cdrauto -# -# Top level modules: -# alt_reset_ctrl_tgx_cdrauto -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_resync -# -# Top level modules: -# alt_xcvr_resync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_csr_common_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_csr_common -# -- Importing package alt_xcvr_csr_common_h -# -# Top level modules: -# alt_xcvr_csr_common -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_csr_pcs8g_h -# -- Importing package alt_xcvr_csr_common_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_csr_pcs8g -# -- Importing package alt_xcvr_csr_common_h -# -- Importing package alt_xcvr_csr_pcs8g_h -# -# Top level modules: -# alt_xcvr_csr_pcs8g -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module csr_mux -# -- Compiling module csr_indexed_write_mux -# -- Compiling module csr_indexed_read_only_reg -# -# Top level modules: -# csr_indexed_write_mux -# csr_indexed_read_only_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec -# -# Top level modules: -# alt_xcvr_mgmt2dec -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_wait_generate -# -# Top level modules: -# altera_wait_generate -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module hxaui_alt4gxb_alt4gxb_dksa -# -- Compiling module hxaui_alt4gxb -# -# Top level modules: -# hxaui_alt4gxb -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module hxaui -# -# Top level modules: -# hxaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module siv_xcvr_xaui -# -# Top level modules: -# siv_xcvr_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_reconfig_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_siv -# -# Top level modules: -# alt_xcvr_reconfig_siv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_analog -# -# Top level modules: -# alt_xcvr_reconfig_analog -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_analog_tgx -# -# Top level modules: -# alt_xcvr_reconfig_analog_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_offset_cancellation -# -# Top level modules: -# alt_xcvr_reconfig_offset_cancellation -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_offset_cancellation_tgx -# -# Top level modules: -# alt_xcvr_reconfig_offset_cancellation_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_eyemon_tgx -# -# Top level modules: -# alt_xcvr_reconfig_eyemon_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_dfe_tgx -# -# Top level modules: -# alt_xcvr_reconfig_dfe_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_basic_tgx -# -# Top level modules: -# alt_xcvr_reconfig_basic_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mutex_acq -# -# Top level modules: -# alt_mutex_acq -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_dprio -# -# Top level modules: -# alt_dprio -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_arbiter -# -# Top level modules: -# alt_xcvr_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_m2s -# -# Top level modules: -# alt_xcvr_m2s -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/compile_ip_soft.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package altera_xcvr_functions -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_pma_functions -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package altera_xcvr_xaui_sv_unit -# -- Compiling module altera_xcvr_xaui -# -# Top level modules: -# altera_xcvr_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package hxaui_csr_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module hxaui_csr -# -- Importing package hxaui_csr_h -# -# Top level modules: -# hxaui_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec_phyreconfig -# -# Top level modules: -# alt_xcvr_mgmt2dec_phyreconfig -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec_xaui -# -# Top level modules: -# alt_xcvr_mgmt2dec_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_pma_ch_controller_tgx -# -# Top level modules: -# alt_pma_ch_controller_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_pma_controller_tgx -# -# Top level modules: -# alt_pma_controller_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_reset_ctrl_lego -# -# Top level modules: -# alt_reset_ctrl_lego -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_reset_ctrl_tgx_cdrauto -# -# Top level modules: -# alt_reset_ctrl_tgx_cdrauto -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_resync -# -# Top level modules: -# alt_xcvr_resync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_csr_common_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_csr_common -# -- Importing package alt_xcvr_csr_common_h -# -# Top level modules: -# alt_xcvr_csr_common -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_csr_pcs8g_h -# -- Importing package alt_xcvr_csr_common_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_csr_pcs8g -# -- Importing package alt_xcvr_csr_common_h -# -- Importing package alt_xcvr_csr_pcs8g_h -# -# Top level modules: -# alt_xcvr_csr_pcs8g -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module csr_mux -# -- Compiling module csr_indexed_write_mux -# -- Compiling module csr_indexed_read_only_reg -# -# Top level modules: -# csr_indexed_write_mux -# csr_indexed_read_only_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec -# -# Top level modules: -# alt_xcvr_mgmt2dec -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_wait_generate -# -# Top level modules: -# altera_wait_generate -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sxaui -# -# Top level modules: -# sxaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module siv_xcvr_low_latency_phy_nr -# -# Top level modules: -# siv_xcvr_low_latency_phy_nr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module siv_xcvr_xaui -# -# Top level modules: -# siv_xcvr_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt4gxb -# -# Top level modules: -# alt4gxb -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_reconfig_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_siv -# -# Top level modules: -# alt_xcvr_reconfig_siv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_analog -# -# Top level modules: -# alt_xcvr_reconfig_analog -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_analog_tgx -# -# Top level modules: -# alt_xcvr_reconfig_analog_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_offset_cancellation -# -# Top level modules: -# alt_xcvr_reconfig_offset_cancellation -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_offset_cancellation_tgx -# -# Top level modules: -# alt_xcvr_reconfig_offset_cancellation_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_eyemon_tgx -# -# Top level modules: -# alt_xcvr_reconfig_eyemon_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_dfe_tgx -# -# Top level modules: -# alt_xcvr_reconfig_dfe_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_basic_tgx -# -# Top level modules: -# alt_xcvr_reconfig_basic_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mutex_acq -# -# Top level modules: -# alt_mutex_acq -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_dprio -# -# Top level modules: -# alt_dprio -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_arbiter -# -# Top level modules: -# alt_xcvr_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_m2s -# -# Top level modules: -# alt_xcvr_m2s -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# [mk make ip_stratixiv_phy_xaui] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_phy_xaui_0 -# -- Compiling architecture rtl of ip_stratixiv_phy_xaui_0 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Compiling entity tb_ip_stratixiv_phy_xaui -# -- Compiling architecture str of tb_ip_stratixiv_phy_xaui -# -- Loading entity ip_stratixiv_phy_xaui_0 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Compiling entity tb_ip_stratixiv_phy_xaui_ppm -# -- Compiling architecture tb of tb_ip_stratixiv_phy_xaui_ppm -# -- Loading entity tb_ip_stratixiv_phy_xaui -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_phy_xaui_soft -# -- Compiling architecture rtl of ip_stratixiv_phy_xaui_soft -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_phy_xaui_2 -# -- Compiling architecture rtl of ip_stratixiv_phy_xaui_2 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_phy_xaui_1 -# -- Compiling architecture rtl of ip_stratixiv_phy_xaui_1 -# [mk compile tech_xaui] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_xaui -# Compile of sim_xaui.vhd was successful. -# Compile of tech_xaui_component_pkg.vhd was successful. -# Compile of tech_xaui_align_dly.vhd was successful. -# Compile of tech_xaui_stratixiv.vhd was successful. -# Compile of tech_xaui.vhd was successful. -# 5 compiles, 0 failed with no errors. -# [mk vmake tech_xaui] -# -# [mk make tech_xaui] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity tech_xaui_align_dly -# -- Compiling architecture rtl of tech_xaui_align_dly -# -- Loading entity common_debounce -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling package tech_xaui_component_pkg -# -- Compiling package body tech_xaui_component_pkg -# -- Loading package tech_xaui_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package technology_pkg -# -- Loading package tech_xaui_component_pkg -# -- Compiling entity tech_xaui_stratixiv -# -- Compiling architecture str of tech_xaui_stratixiv -# -- Loading entity common_async -# -- Loading entity common_areset -# -- Loading entity tech_xaui_align_dly -# -- Loading entity common_mem_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Compiling entity sim_xaui -# -- Compiling architecture wrap of sim_xaui -# -- Loading entity common_areset -# -- Loading entity sim_transceiver_serializer -# -- Loading entity sim_transceiver_deserializer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Compiling entity tech_xaui -# -- Compiling architecture str of tech_xaui -# -- Loading package tech_xaui_component_pkg -# -- Loading entity tech_xaui_stratixiv -# -- Loading entity sim_xaui -# [mk compile tech_eth_10g] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_eth_10g -# Compile of tech_eth_10g_stratixiv.vhd was successful. -# Compile of tech_eth_10g_arria10.vhd was successful. -# Compile of tech_eth_10g_clocks.vhd was successful. -# Compile of tech_eth_10g.vhd was successful. -# Compile of tb_tech_eth_10g.vhd was successful. -# Compile of tb_tech_eth_10g_ppm.vhd was successful. -# Compile of tb_tb_tech_eth_10g.vhd was successful. -# 7 compiles, 0 failed with no errors. -# [mk vmake tech_eth_10g] -# -# [mk make tech_eth_10g] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Compiling entity tech_eth_10g_stratixiv -# -- Compiling architecture str of tech_eth_10g_stratixiv -# -- Loading package technology_select_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading entity tech_mac_10g -# -- Loading entity tech_xaui -# -- Loading entity common_mem_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_eth_10g_clocks -# -- Compiling architecture str of tech_eth_10g_clocks -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Compiling entity tech_eth_10g_arria10 -# -- Compiling architecture str of tech_eth_10g_arria10 -# -- Loading package technology_select_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading entity tech_mac_10g -# -- Loading entity tech_10gbase_r -# -- Loading entity common_reg_r_w_dc -# -- Loading entity common_mem_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Compiling entity tech_eth_10g -# -- Compiling architecture str of tech_eth_10g -# -- Loading entity tech_eth_10g_stratixiv -# -- Loading entity tech_eth_10g_arria10 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Loading package tech_pll_component_pkg -# -- Compiling entity tb_tech_eth_10g -# -- Compiling architecture tb of tb_tech_eth_10g -# -- Loading entity tb_tech_mac_10g_setup -# -- Loading entity tb_tech_mac_10g_transmitter -# -- Loading entity tech_pll_xgmii_mac_clocks -# -- Loading entity tech_eth_10g_clocks -# -- Loading entity tech_eth_10g -# -- Loading entity tb_tech_mac_10g_link_connect -# -- Loading entity tb_tech_mac_10_receiver -# -- Loading entity tb_tech_mac_10_verify_rx_at_eop -# -- Loading entity tb_tech_mac_10g_verify_rx_pkt_cnt -# -- Loading entity tb_tech_mac_10g_simulation_end -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_pll_component_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Compiling entity tb_tech_eth_10g_ppm -# -- Compiling architecture tb of tb_tech_eth_10g_ppm -# -- Loading package common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Loading entity tb_tech_eth_10g -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Loading package tech_pll_component_pkg -# -- Compiling entity tb_tb_tech_eth_10g -# -- Compiling architecture tb of tb_tb_tech_eth_10g -# -- Loading entity tb_tech_eth_10g -# [mk compile mdio] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project mdio -# Compile of mdio_pkg.vhd was successful. -# Compile of mdio_mm.vhd was successful. -# Compile of mdio_ctlr.vhd was successful. -# Compile of mdio_phy_reg.vhd was successful. -# Compile of mdio_phy.vhd was successful. -# Compile of mdio_vitesse_vsc8486_pkg.vhd was successful. -# Compile of mdio.vhd was successful. -# Compile of avs_mdio.vhd was successful. -# Compile of mmd_slave.vhd was successful. -# Compile of tb_mdio.vhd was successful. -# Compile of tb_mdio_phy.vhd was successful. -# Compile of tb_mdio_phy_reg.vhd was successful. -# Compile of tb_mdio_phy_ctlr.vhd was successful. -# 13 compiles, 0 failed with no errors. -# [mk vmake mdio] -# -# [mk make mdio] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity mmd_slave -# -- Compiling architecture beh of mmd_slave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package mdio_pkg -# -- Compiling package body mdio_pkg -# -- Loading package mdio_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package mdio_pkg -# -- Compiling entity mdio_phy -# -- Compiling architecture rtl of mdio_phy -# -- Loading entity common_evt -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package mdio_pkg -# -- Compiling entity mdio_phy_reg -# -- Compiling architecture rtl of mdio_phy_reg -# -- Loading entity common_reg_cross_domain -# -- Loading entity common_spulse -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package mdio_pkg -# -- Compiling entity tb_mdio_phy_reg -# -- Compiling architecture tb of tb_mdio_phy_reg -# -- Loading entity mdio_phy_reg -# -- Loading entity mdio_phy -# -- Loading entity common_inout -# -- Loading entity mmd_slave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package mdio_pkg -# -- Compiling entity mdio_ctlr -# -- Compiling architecture rtl of mdio_ctlr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package mdio_pkg -# -- Compiling entity tb_mdio_phy_ctlr -# -- Compiling architecture tb of tb_mdio_phy_ctlr -# -- Loading entity mdio_ctlr -# -- Loading entity mdio_phy -# -- Loading entity common_inout -# -- Loading entity mmd_slave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package mdio_pkg -# -- Compiling entity tb_mdio_phy -# -- Compiling architecture tb of tb_mdio_phy -# -- Loading entity mdio_phy -# -- Loading entity common_inout -# -- Loading entity mmd_slave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package mdio_pkg -# -- Compiling entity mdio_mm -# -- Compiling architecture str of mdio_mm -# -- Loading entity common_request -# -- Loading entity common_switch -# -- Loading entity common_reg_r_w -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package mdio_pkg -# -- Compiling entity mdio -# -- Compiling architecture str of mdio -# -- Loading package common_mem_pkg -# -- Loading entity mdio_mm -# -- Loading entity mdio_phy -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package mdio_pkg -# -- Compiling entity tb_mdio -# -- Compiling architecture tb of tb_mdio -# -- Loading entity mdio -# -- Loading entity common_inout -# -- Loading entity mmd_slave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package mdio_pkg -# -- Compiling package mdio_vitesse_vsc8486_pkg -# -- Compiling package body mdio_vitesse_vsc8486_pkg -# -- Loading package mdio_vitesse_vsc8486_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package mdio_pkg -# -- Compiling entity avs_mdio -# -- Compiling architecture wrap of avs_mdio -# -- Loading entity mdio -# [mk compile tr_xaui] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tr_xaui -# Compile of tr_xaui_deframer.vhd was successful. -# Compile of tr_xaui_framer.vhd was successful. -# Compile of tr_xaui_mdio.vhd was successful. -# Compile of tr_xaui.vhd was successful. -# Compile of mms_tr_xaui.vhd was successful. -# Compile of tb_tr_xaui_deframer.vhd was successful. -# Compile of tb_tr_xaui_framer.vhd was successful. -# Compile of tb_tr_xaui.vhd was successful. -# Compile of tb_tb_tr_xaui.vhd was successful. -# 9 compiles, 0 failed with no errors. -# [mk vmake tr_xaui] -# -# [mk make tr_xaui] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package mdio_pkg -# -- Loading package mdio_vitesse_vsc8486_pkg -# -- Compiling entity tr_xaui_mdio -# -- Compiling architecture str of tr_xaui_mdio -# -- Loading entity mdio_phy -# -- Loading entity mdio_phy_reg -# -- Loading entity mdio_ctlr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tr_xaui_framer -# -- Compiling architecture rtl of tr_xaui_framer -# -- Loading entity dp_gap -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tr_xaui_deframer -# -- Compiling architecture rtl of tr_xaui_deframer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package mdio_pkg -# -- Loading package mdio_vitesse_vsc8486_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tr_xaui -# -- Compiling architecture str of tr_xaui -# -- Loading entity tech_xaui -# -- Loading entity tr_xaui_framer -# -- Loading entity tr_xaui_deframer -# -- Loading entity tr_xaui_mdio -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tr_xaui_framer -# -- Compiling architecture tb of tb_tr_xaui_framer -# -- Loading entity dp_gap -# -- Loading entity tr_xaui_framer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tr_xaui_deframer -# -- Compiling architecture tb of tb_tr_xaui_deframer -# -- Loading entity dp_gap -# -- Loading entity tr_xaui_framer -# -- Loading entity tr_xaui_deframer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Compiling entity tb_tr_xaui -# -- Compiling architecture str of tb_tr_xaui -# -- Loading package common_interface_layers_pkg -# -- Loading package mdio_pkg -# -- Loading package mdio_vitesse_vsc8486_pkg -# -- Loading package technology_select_pkg -# -- Loading entity tr_xaui -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diagnostics -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tb_tb_tr_xaui -# -- Compiling architecture tb of tb_tb_tr_xaui -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading entity tb_tr_xaui -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package mdio_pkg -# -- Loading package mdio_vitesse_vsc8486_pkg -# -- Compiling entity mms_tr_xaui -# -- Compiling architecture wrap of mms_tr_xaui -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity tr_xaui -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity mms_diagnostics -# -- Loading entity dp_mux -# -- Loading entity dp_demux -# [mk compile tr_10GbE] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tr_10GbE -# Compile of tr_10GbE.vhd was successful. -# Compile of tb_tr_10GbE.vhd was successful. -# Compile of tb_tb_tr_10GbE.vhd was successful. -# 3 compiles, 0 failed with no errors. -# [mk vmake tr_10GbE] -# -# [mk make tr_10GbE] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Compiling entity tr_10gbe -# -- Compiling architecture str of tr_10gbe -# -- Loading entity tech_eth_10g_clocks -# -- Loading entity dp_fifo_fill_dc -# -- Loading entity tech_eth_10g -# -- Loading package mdio_pkg -# -- Loading package mdio_vitesse_vsc8486_pkg -# -- Loading entity tr_xaui_mdio -# -- Loading entity dp_fifo_dc -# -- Loading entity dp_xonoff -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Loading package tech_pll_component_pkg -# -- Compiling entity tb_tr_10gbe -# -- Compiling architecture tb of tb_tr_10gbe -# -- Loading entity tech_pll_xgmii_mac_clocks -# -- Loading entity tb_tech_mac_10g_setup -# -- Loading entity tb_tech_mac_10g_transmitter -# -- Loading entity tr_10gbe -# -- Loading entity tb_tech_mac_10g_link_connect -# -- Loading entity tb_tech_mac_10_receiver -# -- Loading entity tb_tech_mac_10_verify_rx_at_eop -# -- Loading entity tb_tech_mac_10g_verify_rx_pkt_cnt -# -- Loading entity tb_tech_mac_10g_simulation_end -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Loading package tech_pll_component_pkg -# -- Compiling entity tb_tb_tr_10gbe -# -- Compiling architecture tb of tb_tb_tr_10gbe -# -- Loading entity tb_tr_10gbe -# [mk compile ip_stratixiv_ddr3_uphy_4g_800_master] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_ddr3_uphy_4g_800_master -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/compile_ip.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# [mk execute ip_stratixiv_ddr3_uphy_4g_800_master] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_mm_st_converter -# -# Top level modules: -# alt_mem_ddrx_mm_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd_wrap -# -# Top level modules: -# alt_mem_ddrx_addr_cmd_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr2_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr2_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr3_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr3_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_lpddr2_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_lpddr2_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_odt_gen -# -# Top level modules: -# alt_mem_ddrx_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdwr_data_tmg -# -# Top level modules: -# alt_mem_ddrx_rdwr_data_tmg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_arbiter -# -# Top level modules: -# alt_mem_ddrx_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_gen -# -# Top level modules: -# alt_mem_ddrx_burst_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_cmd_gen -# -# Top level modules: -# alt_mem_ddrx_cmd_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_csr -# -# Top level modules: -# alt_mem_ddrx_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer -# -# Top level modules: -# alt_mem_ddrx_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer_manager -# -# Top level modules: -# alt_mem_ddrx_buffer_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_tracking -# -# Top level modules: -# alt_mem_ddrx_burst_tracking -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_dataid_manager -# -# Top level modules: -# alt_mem_ddrx_dataid_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_fifo -# -# Top level modules: -# alt_mem_ddrx_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_list -# -# Top level modules: -# alt_mem_ddrx_list -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdata_path -# -# Top level modules: -# alt_mem_ddrx_rdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_wdata_path -# -# Top level modules: -# alt_mem_ddrx_wdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_32_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_64_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_decoder_wrapper -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_axi_st_converter -# -# Top level modules: -# alt_mem_ddrx_axi_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_input_if -# -# Top level modules: -# alt_mem_ddrx_input_if -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rank_timer -# -# Top level modules: -# alt_mem_ddrx_rank_timer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_sideband -# -# Top level modules: -# alt_mem_ddrx_sideband -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_tbp -# -# Top level modules: -# alt_mem_ddrx_tbp -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_timing_param -# -# Top level modules: -# alt_mem_ddrx_timing_param -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller -# -# Top level modules: -# alt_mem_ddrx_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller_st_top -# -# Top level modules: -# alt_mem_ddrx_controller_st_top -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_nextgen_ddr3_controller_core -# -# Top level modules: -# alt_mem_if_nextgen_ddr3_controller_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_dll_stratixiv -# -# Top level modules: -# altera_mem_if_dll_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_oct_stratixiv -# -# Top level modules: -# altera_mem_if_oct_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_c0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_c0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram -# -# Top level modules: -# rw_manager_ram -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_sc_fifo -# -# Top level modules: -# altera_avalon_sc_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_translator -# -# Top level modules: -# altera_merlin_master_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_wrapper -# -# Top level modules: -# sequencer_scc_sv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_inst_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_synchronizer -# -# Top level modules: -# altera_reset_synchronizer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_write_decoder -# -# Top level modules: -# rw_manager_write_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_bitcheck -# -# Top level modules: -# rw_manager_bitcheck -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_read_datapath -# -# Top level modules: -# rw_manager_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_controller -# -# Top level modules: -# altera_reset_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ddr3 -# -# Top level modules: -# rw_manager_ddr3 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer_wrap -# -# Top level modules: -# rw_manager_di_buffer_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_jumplogic -# -# Top level modules: -# rw_manager_jumplogic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_reg -# -# Top level modules: -# rw_manager_ac_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_data_mgr -# -# Top level modules: -# sequencer_data_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_wrapper -# -# Top level modules: -# sequencer_scc_siii_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_agent -# -# Top level modules: -# altera_merlin_master_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_demux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_demux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_decoder -# -# Top level modules: -# rw_manager_data_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_phase_decode -# -# Top level modules: -# sequencer_scc_siii_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_mux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_mux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_demux_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_demux_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_pattern_fifo -# -# Top level modules: -# rw_manager_pattern_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_dm_decoder -# -# Top level modules: -# rw_manager_dm_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_agent -# -# Top level modules: -# altera_merlin_slave_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_phy_mgr -# -# Top level modules: -# sequencer_phy_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_phase_decode -# -# Top level modules: -# sequencer_scc_sv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_translator -# -# Top level modules: -# altera_merlin_slave_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_reg -# -# Top level modules: -# rw_manager_inst_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_reg_file -# -# Top level modules: -# sequencer_scc_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr12 -# -# Top level modules: -# rw_manager_lfsr12 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_rsp_xbar_demux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_rsp_xbar_demux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_ac_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr72 -# -# Top level modules: -# rw_manager_lfsr72 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_rsp_xbar_mux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_rsp_xbar_mux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_datamux -# -# Top level modules: -# rw_manager_datamux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_broadcast -# -# Top level modules: -# rw_manager_data_broadcast -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_mgr -# -# Top level modules: -# sequencer_scc_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram_csr -# -# Top level modules: -# rw_manager_ram_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr36 -# -# Top level modules: -# rw_manager_lfsr36 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_generic -# -# Top level modules: -# rw_manager_generic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router_001_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_core -# -# Top level modules: -# rw_manager_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_burst_uncompressor -# -# Top level modules: -# altera_merlin_burst_uncompressor -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_arbitrator -# -- Compiling module altera_merlin_arb_adder -# -# Top level modules: -# altera_merlin_arbitrator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_wrapper -# -# Top level modules: -# sequencer_scc_acv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_phase_decode -# -# Top level modules: -# sequencer_scc_acv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer -# -# Top level modules: -# rw_manager_di_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_mem_no_ifdef_params -# -# Top level modules: -# altera_mem_if_sequencer_mem_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router_003_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_reg_file -# -# Top level modules: -# sequencer_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_irq_mapper -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_irq_mapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module afi_mux_ddr3_ddrx -# -# Top level modules: -# afi_mux_ddr3_ddrx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_clock_pair_generator -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_clock_pair_generator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_read_valid_selector -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_read_valid_selector -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_reset -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_reset -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_acv_ldc -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_acv_ldc -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_ldc_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_ldc_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_ldc_pad -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module addr_cmd_non_ldc_pad -# -# Top level modules: -# addr_cmd_non_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_memphy -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_memphy -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_reset_sync -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_reset_sync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_new_io_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_new_io_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_fr_cycle_shifter -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_fr_cycle_shifter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_read_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_write_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_write_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_hr_to_fr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_hr_to_fr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_simple_ddio_out -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_simple_ddio_out -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_sequencer_mux_bridge -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_sequencer_mux_bridge -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_phy_csr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_phy_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_iss_probe -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_iss_probe -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_flop_mem -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_flop_mem -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_altdqdqs -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_altdqdqs -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_ddio_3reg_stratixiv -# -# Top level modules: -# altdq_dqs2_ddio_3reg_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_abstract -# -# Top level modules: -# altdq_dqs2_abstract -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_cal_delays -# -# Top level modules: -# altdq_dqs2_cal_delays -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_pll0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_pll0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_0002 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_sim -# [mk vmake ip_stratixiv_ddr3_uphy_4g_800_master] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/compile_ip.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# [mk execute ip_stratixiv_ddr3_uphy_4g_800_master] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_mm_st_converter -# -# Top level modules: -# alt_mem_ddrx_mm_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd_wrap -# -# Top level modules: -# alt_mem_ddrx_addr_cmd_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr2_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr2_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr3_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr3_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_lpddr2_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_lpddr2_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_odt_gen -# -# Top level modules: -# alt_mem_ddrx_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdwr_data_tmg -# -# Top level modules: -# alt_mem_ddrx_rdwr_data_tmg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_arbiter -# -# Top level modules: -# alt_mem_ddrx_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_gen -# -# Top level modules: -# alt_mem_ddrx_burst_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_cmd_gen -# -# Top level modules: -# alt_mem_ddrx_cmd_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_csr -# -# Top level modules: -# alt_mem_ddrx_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer -# -# Top level modules: -# alt_mem_ddrx_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer_manager -# -# Top level modules: -# alt_mem_ddrx_buffer_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_tracking -# -# Top level modules: -# alt_mem_ddrx_burst_tracking -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_dataid_manager -# -# Top level modules: -# alt_mem_ddrx_dataid_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_fifo -# -# Top level modules: -# alt_mem_ddrx_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_list -# -# Top level modules: -# alt_mem_ddrx_list -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdata_path -# -# Top level modules: -# alt_mem_ddrx_rdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_wdata_path -# -# Top level modules: -# alt_mem_ddrx_wdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_32_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_64_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_decoder_wrapper -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_axi_st_converter -# -# Top level modules: -# alt_mem_ddrx_axi_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_input_if -# -# Top level modules: -# alt_mem_ddrx_input_if -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rank_timer -# -# Top level modules: -# alt_mem_ddrx_rank_timer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_sideband -# -# Top level modules: -# alt_mem_ddrx_sideband -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_tbp -# -# Top level modules: -# alt_mem_ddrx_tbp -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_timing_param -# -# Top level modules: -# alt_mem_ddrx_timing_param -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller -# -# Top level modules: -# alt_mem_ddrx_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller_st_top -# -# Top level modules: -# alt_mem_ddrx_controller_st_top -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_nextgen_ddr3_controller_core -# -# Top level modules: -# alt_mem_if_nextgen_ddr3_controller_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_dll_stratixiv -# -# Top level modules: -# altera_mem_if_dll_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_oct_stratixiv -# -# Top level modules: -# altera_mem_if_oct_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_c0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_c0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram -# -# Top level modules: -# rw_manager_ram -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_sc_fifo -# -# Top level modules: -# altera_avalon_sc_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_translator -# -# Top level modules: -# altera_merlin_master_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_wrapper -# -# Top level modules: -# sequencer_scc_sv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_inst_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_synchronizer -# -# Top level modules: -# altera_reset_synchronizer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_write_decoder -# -# Top level modules: -# rw_manager_write_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_bitcheck -# -# Top level modules: -# rw_manager_bitcheck -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_read_datapath -# -# Top level modules: -# rw_manager_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_controller -# -# Top level modules: -# altera_reset_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ddr3 -# -# Top level modules: -# rw_manager_ddr3 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer_wrap -# -# Top level modules: -# rw_manager_di_buffer_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_jumplogic -# -# Top level modules: -# rw_manager_jumplogic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_reg -# -# Top level modules: -# rw_manager_ac_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_data_mgr -# -# Top level modules: -# sequencer_data_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_wrapper -# -# Top level modules: -# sequencer_scc_siii_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_agent -# -# Top level modules: -# altera_merlin_master_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_demux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_demux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_decoder -# -# Top level modules: -# rw_manager_data_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_phase_decode -# -# Top level modules: -# sequencer_scc_siii_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_mux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_mux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_demux_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_demux_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_pattern_fifo -# -# Top level modules: -# rw_manager_pattern_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_dm_decoder -# -# Top level modules: -# rw_manager_dm_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_agent -# -# Top level modules: -# altera_merlin_slave_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_phy_mgr -# -# Top level modules: -# sequencer_phy_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_phase_decode -# -# Top level modules: -# sequencer_scc_sv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_translator -# -# Top level modules: -# altera_merlin_slave_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_reg -# -# Top level modules: -# rw_manager_inst_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_reg_file -# -# Top level modules: -# sequencer_scc_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr12 -# -# Top level modules: -# rw_manager_lfsr12 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_rsp_xbar_demux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_rsp_xbar_demux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_ac_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr72 -# -# Top level modules: -# rw_manager_lfsr72 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_rsp_xbar_mux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_rsp_xbar_mux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_datamux -# -# Top level modules: -# rw_manager_datamux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_broadcast -# -# Top level modules: -# rw_manager_data_broadcast -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_mgr -# -# Top level modules: -# sequencer_scc_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram_csr -# -# Top level modules: -# rw_manager_ram_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr36 -# -# Top level modules: -# rw_manager_lfsr36 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_generic -# -# Top level modules: -# rw_manager_generic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router_001_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_core -# -# Top level modules: -# rw_manager_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_burst_uncompressor -# -# Top level modules: -# altera_merlin_burst_uncompressor -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_arbitrator -# -- Compiling module altera_merlin_arb_adder -# -# Top level modules: -# altera_merlin_arbitrator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_wrapper -# -# Top level modules: -# sequencer_scc_acv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_phase_decode -# -# Top level modules: -# sequencer_scc_acv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer -# -# Top level modules: -# rw_manager_di_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_mem_no_ifdef_params -# -# Top level modules: -# altera_mem_if_sequencer_mem_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router_003_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_reg_file -# -# Top level modules: -# sequencer_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_irq_mapper -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_irq_mapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module afi_mux_ddr3_ddrx -# -# Top level modules: -# afi_mux_ddr3_ddrx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_clock_pair_generator -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_clock_pair_generator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_read_valid_selector -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_read_valid_selector -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_reset -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_reset -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_acv_ldc -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_acv_ldc -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_ldc_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_ldc_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_ldc_pad -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module addr_cmd_non_ldc_pad -# -# Top level modules: -# addr_cmd_non_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_memphy -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_memphy -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_reset_sync -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_reset_sync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_new_io_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_new_io_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_fr_cycle_shifter -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_fr_cycle_shifter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_read_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_write_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_write_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_hr_to_fr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_hr_to_fr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_simple_ddio_out -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_simple_ddio_out -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_sequencer_mux_bridge -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_sequencer_mux_bridge -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_phy_csr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_phy_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_iss_probe -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_iss_probe -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_flop_mem -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_flop_mem -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_altdqdqs -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_altdqdqs -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_ddio_3reg_stratixiv -# -# Top level modules: -# altdq_dqs2_ddio_3reg_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_abstract -# -# Top level modules: -# altdq_dqs2_abstract -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_cal_delays -# -# Top level modules: -# altdq_dqs2_cal_delays -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_pll0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_pll0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_0002 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_sim -# [mk make ip_stratixiv_ddr3_uphy_4g_800_master] -# -# [mk compile ip_stratixiv_ddr3_uphy_4g_800_slave] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_ddr3_uphy_4g_800_slave -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_slave/compile_ip.tcl -# [mk execute ip_stratixiv_ddr3_uphy_4g_800_slave] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_slave/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_800_slave_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_mm_st_converter -# -# Top level modules: -# alt_mem_ddrx_mm_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd_wrap -# -# Top level modules: -# alt_mem_ddrx_addr_cmd_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr2_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr2_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr3_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr3_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_lpddr2_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_lpddr2_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_odt_gen -# -# Top level modules: -# alt_mem_ddrx_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdwr_data_tmg -# -# Top level modules: -# alt_mem_ddrx_rdwr_data_tmg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_arbiter -# -# Top level modules: -# alt_mem_ddrx_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_gen -# -# Top level modules: -# alt_mem_ddrx_burst_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_cmd_gen -# -# Top level modules: -# alt_mem_ddrx_cmd_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_csr -# -# Top level modules: -# alt_mem_ddrx_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer -# -# Top level modules: -# alt_mem_ddrx_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer_manager -# -# Top level modules: -# alt_mem_ddrx_buffer_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_tracking -# -# Top level modules: -# alt_mem_ddrx_burst_tracking -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_dataid_manager -# -# Top level modules: -# alt_mem_ddrx_dataid_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_fifo -# -# Top level modules: -# alt_mem_ddrx_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_list -# -# Top level modules: -# alt_mem_ddrx_list -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdata_path -# -# Top level modules: -# alt_mem_ddrx_rdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_wdata_path -# -# Top level modules: -# alt_mem_ddrx_wdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_32_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_64_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_decoder_wrapper -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_axi_st_converter -# -# Top level modules: -# alt_mem_ddrx_axi_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_input_if -# -# Top level modules: -# alt_mem_ddrx_input_if -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rank_timer -# -# Top level modules: -# alt_mem_ddrx_rank_timer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_sideband -# -# Top level modules: -# alt_mem_ddrx_sideband -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_tbp -# -# Top level modules: -# alt_mem_ddrx_tbp -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_timing_param -# -# Top level modules: -# alt_mem_ddrx_timing_param -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller -# -# Top level modules: -# alt_mem_ddrx_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller_st_top -# -# Top level modules: -# alt_mem_ddrx_controller_st_top -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_nextgen_ddr3_controller_core -# -# Top level modules: -# alt_mem_if_nextgen_ddr3_controller_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_dll_stratixiv -# -# Top level modules: -# altera_mem_if_dll_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_c0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_c0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram -# -# Top level modules: -# rw_manager_ram -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_sc_fifo -# -# Top level modules: -# altera_avalon_sc_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_translator -# -# Top level modules: -# altera_merlin_master_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_wrapper -# -# Top level modules: -# sequencer_scc_sv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_rsp_xbar_mux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_rsp_xbar_mux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_inst_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_synchronizer -# -# Top level modules: -# altera_reset_synchronizer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_write_decoder -# -# Top level modules: -# rw_manager_write_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_bitcheck -# -# Top level modules: -# rw_manager_bitcheck -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_read_datapath -# -# Top level modules: -# rw_manager_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_controller -# -# Top level modules: -# altera_reset_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ddr3 -# -# Top level modules: -# rw_manager_ddr3 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer_wrap -# -# Top level modules: -# rw_manager_di_buffer_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_jumplogic -# -# Top level modules: -# rw_manager_jumplogic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_reg -# -# Top level modules: -# rw_manager_ac_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_data_mgr -# -# Top level modules: -# sequencer_data_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_wrapper -# -# Top level modules: -# sequencer_scc_siii_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_agent -# -# Top level modules: -# altera_merlin_master_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_decoder -# -# Top level modules: -# rw_manager_data_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_phase_decode -# -# Top level modules: -# sequencer_scc_siii_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_pattern_fifo -# -# Top level modules: -# rw_manager_pattern_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_dm_decoder -# -# Top level modules: -# rw_manager_dm_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_mux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_mux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_agent -# -# Top level modules: -# altera_merlin_slave_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_phy_mgr -# -# Top level modules: -# sequencer_phy_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_phase_decode -# -# Top level modules: -# sequencer_scc_sv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_demux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_demux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_translator -# -# Top level modules: -# altera_merlin_slave_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_reg -# -# Top level modules: -# rw_manager_inst_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_reg_file -# -# Top level modules: -# sequencer_scc_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr12 -# -# Top level modules: -# rw_manager_lfsr12 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_ac_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr72 -# -# Top level modules: -# rw_manager_lfsr72 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_demux_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_demux_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_datamux -# -# Top level modules: -# rw_manager_datamux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_broadcast -# -# Top level modules: -# rw_manager_data_broadcast -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_mgr -# -# Top level modules: -# sequencer_scc_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram_csr -# -# Top level modules: -# rw_manager_ram_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr36 -# -# Top level modules: -# rw_manager_lfsr36 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router_001_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_generic -# -# Top level modules: -# rw_manager_generic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router_003_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_core -# -# Top level modules: -# rw_manager_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_burst_uncompressor -# -# Top level modules: -# altera_merlin_burst_uncompressor -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_arbitrator -# -- Compiling module altera_merlin_arb_adder -# -# Top level modules: -# altera_merlin_arbitrator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_wrapper -# -# Top level modules: -# sequencer_scc_acv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_irq_mapper -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_irq_mapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_phase_decode -# -# Top level modules: -# sequencer_scc_acv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_rsp_xbar_demux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_rsp_xbar_demux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer -# -# Top level modules: -# rw_manager_di_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_mem_no_ifdef_params -# -# Top level modules: -# altera_mem_if_sequencer_mem_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_reg_file -# -# Top level modules: -# sequencer_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module afi_mux_ddr3_ddrx -# -# Top level modules: -# afi_mux_ddr3_ddrx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_clock_pair_generator -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_clock_pair_generator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_read_valid_selector -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_read_valid_selector -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_reset -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_reset -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_acv_ldc -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_acv_ldc -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_ldc_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_ldc_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_ldc_pad -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module addr_cmd_non_ldc_pad -# -# Top level modules: -# addr_cmd_non_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_memphy -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_memphy -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_reset_sync -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_reset_sync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_new_io_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_new_io_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_fr_cycle_shifter -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_fr_cycle_shifter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_read_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_write_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_write_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_hr_to_fr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_hr_to_fr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_simple_ddio_out -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_simple_ddio_out -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_sequencer_mux_bridge -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_sequencer_mux_bridge -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_phy_csr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_phy_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_iss_probe -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_iss_probe -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_flop_mem -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_flop_mem -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_altdqdqs -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_altdqdqs -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_ddio_3reg_stratixiv -# -# Top level modules: -# altdq_dqs2_ddio_3reg_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_abstract -# -# Top level modules: -# altdq_dqs2_abstract -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_cal_delays -# -# Top level modules: -# altdq_dqs2_cal_delays -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_pll0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_pll0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_0002 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave -# [mk vmake ip_stratixiv_ddr3_uphy_4g_800_slave] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_slave/compile_ip.tcl -# [mk execute ip_stratixiv_ddr3_uphy_4g_800_slave] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_slave/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_800_slave_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_mm_st_converter -# -# Top level modules: -# alt_mem_ddrx_mm_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd_wrap -# -# Top level modules: -# alt_mem_ddrx_addr_cmd_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr2_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr2_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr3_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr3_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_lpddr2_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_lpddr2_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_odt_gen -# -# Top level modules: -# alt_mem_ddrx_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdwr_data_tmg -# -# Top level modules: -# alt_mem_ddrx_rdwr_data_tmg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_arbiter -# -# Top level modules: -# alt_mem_ddrx_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_gen -# -# Top level modules: -# alt_mem_ddrx_burst_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_cmd_gen -# -# Top level modules: -# alt_mem_ddrx_cmd_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_csr -# -# Top level modules: -# alt_mem_ddrx_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer -# -# Top level modules: -# alt_mem_ddrx_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer_manager -# -# Top level modules: -# alt_mem_ddrx_buffer_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_tracking -# -# Top level modules: -# alt_mem_ddrx_burst_tracking -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_dataid_manager -# -# Top level modules: -# alt_mem_ddrx_dataid_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_fifo -# -# Top level modules: -# alt_mem_ddrx_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_list -# -# Top level modules: -# alt_mem_ddrx_list -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdata_path -# -# Top level modules: -# alt_mem_ddrx_rdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_wdata_path -# -# Top level modules: -# alt_mem_ddrx_wdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_32_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_64_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_decoder_wrapper -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_axi_st_converter -# -# Top level modules: -# alt_mem_ddrx_axi_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_input_if -# -# Top level modules: -# alt_mem_ddrx_input_if -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rank_timer -# -# Top level modules: -# alt_mem_ddrx_rank_timer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_sideband -# -# Top level modules: -# alt_mem_ddrx_sideband -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_tbp -# -# Top level modules: -# alt_mem_ddrx_tbp -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_timing_param -# -# Top level modules: -# alt_mem_ddrx_timing_param -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller -# -# Top level modules: -# alt_mem_ddrx_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller_st_top -# -# Top level modules: -# alt_mem_ddrx_controller_st_top -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_nextgen_ddr3_controller_core -# -# Top level modules: -# alt_mem_if_nextgen_ddr3_controller_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_dll_stratixiv -# -# Top level modules: -# altera_mem_if_dll_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_c0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_c0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram -# -# Top level modules: -# rw_manager_ram -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_sc_fifo -# -# Top level modules: -# altera_avalon_sc_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_translator -# -# Top level modules: -# altera_merlin_master_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_wrapper -# -# Top level modules: -# sequencer_scc_sv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_rsp_xbar_mux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_rsp_xbar_mux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_inst_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_synchronizer -# -# Top level modules: -# altera_reset_synchronizer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_write_decoder -# -# Top level modules: -# rw_manager_write_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_bitcheck -# -# Top level modules: -# rw_manager_bitcheck -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_read_datapath -# -# Top level modules: -# rw_manager_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_controller -# -# Top level modules: -# altera_reset_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ddr3 -# -# Top level modules: -# rw_manager_ddr3 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer_wrap -# -# Top level modules: -# rw_manager_di_buffer_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_jumplogic -# -# Top level modules: -# rw_manager_jumplogic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_reg -# -# Top level modules: -# rw_manager_ac_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_data_mgr -# -# Top level modules: -# sequencer_data_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_wrapper -# -# Top level modules: -# sequencer_scc_siii_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_agent -# -# Top level modules: -# altera_merlin_master_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_decoder -# -# Top level modules: -# rw_manager_data_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_phase_decode -# -# Top level modules: -# sequencer_scc_siii_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_pattern_fifo -# -# Top level modules: -# rw_manager_pattern_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_dm_decoder -# -# Top level modules: -# rw_manager_dm_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_mux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_mux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_agent -# -# Top level modules: -# altera_merlin_slave_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_phy_mgr -# -# Top level modules: -# sequencer_phy_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_phase_decode -# -# Top level modules: -# sequencer_scc_sv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_demux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_demux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_translator -# -# Top level modules: -# altera_merlin_slave_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_reg -# -# Top level modules: -# rw_manager_inst_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_reg_file -# -# Top level modules: -# sequencer_scc_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr12 -# -# Top level modules: -# rw_manager_lfsr12 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_ac_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr72 -# -# Top level modules: -# rw_manager_lfsr72 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_demux_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_demux_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_datamux -# -# Top level modules: -# rw_manager_datamux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_broadcast -# -# Top level modules: -# rw_manager_data_broadcast -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_mgr -# -# Top level modules: -# sequencer_scc_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram_csr -# -# Top level modules: -# rw_manager_ram_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr36 -# -# Top level modules: -# rw_manager_lfsr36 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router_001_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_generic -# -# Top level modules: -# rw_manager_generic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router_003_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_core -# -# Top level modules: -# rw_manager_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_burst_uncompressor -# -# Top level modules: -# altera_merlin_burst_uncompressor -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_arbitrator -# -- Compiling module altera_merlin_arb_adder -# -# Top level modules: -# altera_merlin_arbitrator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_wrapper -# -# Top level modules: -# sequencer_scc_acv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_irq_mapper -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_irq_mapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_phase_decode -# -# Top level modules: -# sequencer_scc_acv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_rsp_xbar_demux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_rsp_xbar_demux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer -# -# Top level modules: -# rw_manager_di_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_mem_no_ifdef_params -# -# Top level modules: -# altera_mem_if_sequencer_mem_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_reg_file -# -# Top level modules: -# sequencer_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module afi_mux_ddr3_ddrx -# -# Top level modules: -# afi_mux_ddr3_ddrx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_clock_pair_generator -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_clock_pair_generator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_read_valid_selector -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_read_valid_selector -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_reset -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_reset -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_acv_ldc -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_acv_ldc -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_ldc_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_ldc_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_ldc_pad -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module addr_cmd_non_ldc_pad -# -# Top level modules: -# addr_cmd_non_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_memphy -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_memphy -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_reset_sync -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_reset_sync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_new_io_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_new_io_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_fr_cycle_shifter -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_fr_cycle_shifter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_read_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_write_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_write_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_hr_to_fr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_hr_to_fr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_simple_ddio_out -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_simple_ddio_out -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_sequencer_mux_bridge -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_sequencer_mux_bridge -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_phy_csr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_phy_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_iss_probe -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_iss_probe -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_flop_mem -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_flop_mem -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_altdqdqs -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_altdqdqs -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_ddio_3reg_stratixiv -# -# Top level modules: -# altdq_dqs2_ddio_3reg_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_abstract -# -# Top level modules: -# altdq_dqs2_abstract -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_cal_delays -# -# Top level modules: -# altdq_dqs2_cal_delays -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_pll0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_pll0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_0002 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave -# [mk make ip_stratixiv_ddr3_uphy_4g_800_slave] -# -# [mk compile ip_stratixiv_ddr3_uphy_4g_single_rank_800_master] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/compile_ip.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/copy_hex_files.tcl -# [mk execute ip_stratixiv_ddr3_uphy_4g_single_rank_800_master] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_mm_st_converter -# -# Top level modules: -# alt_mem_ddrx_mm_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd_wrap -# -# Top level modules: -# alt_mem_ddrx_addr_cmd_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr2_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr2_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr3_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr3_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_lpddr2_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_lpddr2_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_odt_gen -# -# Top level modules: -# alt_mem_ddrx_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdwr_data_tmg -# -# Top level modules: -# alt_mem_ddrx_rdwr_data_tmg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_arbiter -# -# Top level modules: -# alt_mem_ddrx_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_gen -# -# Top level modules: -# alt_mem_ddrx_burst_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_cmd_gen -# -# Top level modules: -# alt_mem_ddrx_cmd_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_csr -# -# Top level modules: -# alt_mem_ddrx_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer -# -# Top level modules: -# alt_mem_ddrx_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer_manager -# -# Top level modules: -# alt_mem_ddrx_buffer_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_tracking -# -# Top level modules: -# alt_mem_ddrx_burst_tracking -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_dataid_manager -# -# Top level modules: -# alt_mem_ddrx_dataid_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_fifo -# -# Top level modules: -# alt_mem_ddrx_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_list -# -# Top level modules: -# alt_mem_ddrx_list -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdata_path -# -# Top level modules: -# alt_mem_ddrx_rdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_wdata_path -# -# Top level modules: -# alt_mem_ddrx_wdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_32_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_64_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_decoder_wrapper -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_axi_st_converter -# -# Top level modules: -# alt_mem_ddrx_axi_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_input_if -# -# Top level modules: -# alt_mem_ddrx_input_if -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rank_timer -# -# Top level modules: -# alt_mem_ddrx_rank_timer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_sideband -# -# Top level modules: -# alt_mem_ddrx_sideband -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_tbp -# -# Top level modules: -# alt_mem_ddrx_tbp -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_timing_param -# -# Top level modules: -# alt_mem_ddrx_timing_param -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller -# -# Top level modules: -# alt_mem_ddrx_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller_st_top -# -# Top level modules: -# alt_mem_ddrx_controller_st_top -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_nextgen_ddr3_controller_core -# -# Top level modules: -# alt_mem_if_nextgen_ddr3_controller_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_dll_stratixiv -# -# Top level modules: -# altera_mem_if_dll_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_oct_stratixiv -# -# Top level modules: -# altera_mem_if_oct_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram -# -# Top level modules: -# rw_manager_ram -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_sc_fifo -# -# Top level modules: -# altera_avalon_sc_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_translator -# -# Top level modules: -# altera_merlin_master_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_wrapper -# -# Top level modules: -# sequencer_scc_sv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_inst_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_synchronizer -# -# Top level modules: -# altera_reset_synchronizer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_write_decoder -# -# Top level modules: -# rw_manager_write_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_bitcheck -# -# Top level modules: -# rw_manager_bitcheck -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_read_datapath -# -# Top level modules: -# rw_manager_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_controller -# -# Top level modules: -# altera_reset_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ddr3 -# -# Top level modules: -# rw_manager_ddr3 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer_wrap -# -# Top level modules: -# rw_manager_di_buffer_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_jumplogic -# -# Top level modules: -# rw_manager_jumplogic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_reg -# -# Top level modules: -# rw_manager_ac_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_data_mgr -# -# Top level modules: -# sequencer_data_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_wrapper -# -# Top level modules: -# sequencer_scc_siii_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_agent -# -# Top level modules: -# altera_merlin_master_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_decoder -# -# Top level modules: -# rw_manager_data_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_phase_decode -# -# Top level modules: -# sequencer_scc_siii_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_mux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_mux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_pattern_fifo -# -# Top level modules: -# rw_manager_pattern_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_dm_decoder -# -# Top level modules: -# rw_manager_dm_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_agent -# -# Top level modules: -# altera_merlin_slave_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_phy_mgr -# -# Top level modules: -# sequencer_phy_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_phase_decode -# -# Top level modules: -# sequencer_scc_sv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_translator -# -# Top level modules: -# altera_merlin_slave_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_reg -# -# Top level modules: -# rw_manager_inst_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_reg_file -# -# Top level modules: -# sequencer_scc_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr12 -# -# Top level modules: -# rw_manager_lfsr12 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_demux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_demux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_ac_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr72 -# -# Top level modules: -# rw_manager_lfsr72 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_mux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_mux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_datamux -# -# Top level modules: -# rw_manager_datamux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_broadcast -# -# Top level modules: -# rw_manager_data_broadcast -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_mgr -# -# Top level modules: -# sequencer_scc_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram_csr -# -# Top level modules: -# rw_manager_ram_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr36 -# -# Top level modules: -# rw_manager_lfsr36 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_generic -# -# Top level modules: -# rw_manager_generic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_core -# -# Top level modules: -# rw_manager_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_burst_uncompressor -# -# Top level modules: -# altera_merlin_burst_uncompressor -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_arbitrator -# -- Compiling module altera_merlin_arb_adder -# -# Top level modules: -# altera_merlin_arbitrator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_wrapper -# -# Top level modules: -# sequencer_scc_acv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_phase_decode -# -# Top level modules: -# sequencer_scc_acv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer -# -# Top level modules: -# rw_manager_di_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_mem_no_ifdef_params -# -# Top level modules: -# altera_mem_if_sequencer_mem_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_reg_file -# -# Top level modules: -# sequencer_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_irq_mapper -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_irq_mapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module afi_mux_ddr3_ddrx -# -# Top level modules: -# afi_mux_ddr3_ddrx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_clock_pair_generator -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_clock_pair_generator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_valid_selector -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_valid_selector -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_acv_ldc -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_acv_ldc -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_ldc_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_ldc_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_ldc_pad -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module addr_cmd_non_ldc_pad -# -# Top level modules: -# addr_cmd_non_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset_sync -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset_sync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_fr_cycle_shifter -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_fr_cycle_shifter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_write_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_write_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_hr_to_fr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_hr_to_fr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_simple_ddio_out -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_simple_ddio_out -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_sequencer_mux_bridge -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_sequencer_mux_bridge -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_phy_csr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_phy_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_iss_probe -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_iss_probe -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_flop_mem -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_flop_mem -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_ddio_3reg_stratixiv -# -# Top level modules: -# altdq_dqs2_ddio_3reg_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_abstract -# -# Top level modules: -# altdq_dqs2_abstract -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_cal_delays -# -# Top level modules: -# altdq_dqs2_cal_delays -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim -# [mk vmake ip_stratixiv_ddr3_uphy_4g_single_rank_800_master] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/compile_ip.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/copy_hex_files.tcl -# [mk execute ip_stratixiv_ddr3_uphy_4g_single_rank_800_master] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_mm_st_converter -# -# Top level modules: -# alt_mem_ddrx_mm_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd_wrap -# -# Top level modules: -# alt_mem_ddrx_addr_cmd_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr2_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr2_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr3_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr3_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_lpddr2_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_lpddr2_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_odt_gen -# -# Top level modules: -# alt_mem_ddrx_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdwr_data_tmg -# -# Top level modules: -# alt_mem_ddrx_rdwr_data_tmg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_arbiter -# -# Top level modules: -# alt_mem_ddrx_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_gen -# -# Top level modules: -# alt_mem_ddrx_burst_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_cmd_gen -# -# Top level modules: -# alt_mem_ddrx_cmd_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_csr -# -# Top level modules: -# alt_mem_ddrx_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer -# -# Top level modules: -# alt_mem_ddrx_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer_manager -# -# Top level modules: -# alt_mem_ddrx_buffer_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_tracking -# -# Top level modules: -# alt_mem_ddrx_burst_tracking -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_dataid_manager -# -# Top level modules: -# alt_mem_ddrx_dataid_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_fifo -# -# Top level modules: -# alt_mem_ddrx_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_list -# -# Top level modules: -# alt_mem_ddrx_list -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdata_path -# -# Top level modules: -# alt_mem_ddrx_rdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_wdata_path -# -# Top level modules: -# alt_mem_ddrx_wdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_32_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_64_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_decoder_wrapper -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_axi_st_converter -# -# Top level modules: -# alt_mem_ddrx_axi_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_input_if -# -# Top level modules: -# alt_mem_ddrx_input_if -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rank_timer -# -# Top level modules: -# alt_mem_ddrx_rank_timer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_sideband -# -# Top level modules: -# alt_mem_ddrx_sideband -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_tbp -# -# Top level modules: -# alt_mem_ddrx_tbp -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_timing_param -# -# Top level modules: -# alt_mem_ddrx_timing_param -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller -# -# Top level modules: -# alt_mem_ddrx_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller_st_top -# -# Top level modules: -# alt_mem_ddrx_controller_st_top -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_nextgen_ddr3_controller_core -# -# Top level modules: -# alt_mem_if_nextgen_ddr3_controller_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_dll_stratixiv -# -# Top level modules: -# altera_mem_if_dll_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_oct_stratixiv -# -# Top level modules: -# altera_mem_if_oct_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram -# -# Top level modules: -# rw_manager_ram -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_sc_fifo -# -# Top level modules: -# altera_avalon_sc_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_translator -# -# Top level modules: -# altera_merlin_master_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_wrapper -# -# Top level modules: -# sequencer_scc_sv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_inst_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_synchronizer -# -# Top level modules: -# altera_reset_synchronizer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_write_decoder -# -# Top level modules: -# rw_manager_write_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_bitcheck -# -# Top level modules: -# rw_manager_bitcheck -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_read_datapath -# -# Top level modules: -# rw_manager_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_controller -# -# Top level modules: -# altera_reset_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ddr3 -# -# Top level modules: -# rw_manager_ddr3 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer_wrap -# -# Top level modules: -# rw_manager_di_buffer_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_jumplogic -# -# Top level modules: -# rw_manager_jumplogic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_reg -# -# Top level modules: -# rw_manager_ac_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_data_mgr -# -# Top level modules: -# sequencer_data_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_wrapper -# -# Top level modules: -# sequencer_scc_siii_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_agent -# -# Top level modules: -# altera_merlin_master_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_decoder -# -# Top level modules: -# rw_manager_data_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_phase_decode -# -# Top level modules: -# sequencer_scc_siii_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_mux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_mux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_pattern_fifo -# -# Top level modules: -# rw_manager_pattern_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_dm_decoder -# -# Top level modules: -# rw_manager_dm_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_agent -# -# Top level modules: -# altera_merlin_slave_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_phy_mgr -# -# Top level modules: -# sequencer_phy_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_phase_decode -# -# Top level modules: -# sequencer_scc_sv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_translator -# -# Top level modules: -# altera_merlin_slave_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_reg -# -# Top level modules: -# rw_manager_inst_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_reg_file -# -# Top level modules: -# sequencer_scc_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr12 -# -# Top level modules: -# rw_manager_lfsr12 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_demux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_demux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_ac_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr72 -# -# Top level modules: -# rw_manager_lfsr72 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_mux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_mux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_datamux -# -# Top level modules: -# rw_manager_datamux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_broadcast -# -# Top level modules: -# rw_manager_data_broadcast -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_mgr -# -# Top level modules: -# sequencer_scc_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram_csr -# -# Top level modules: -# rw_manager_ram_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr36 -# -# Top level modules: -# rw_manager_lfsr36 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_generic -# -# Top level modules: -# rw_manager_generic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_core -# -# Top level modules: -# rw_manager_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_burst_uncompressor -# -# Top level modules: -# altera_merlin_burst_uncompressor -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_arbitrator -# -- Compiling module altera_merlin_arb_adder -# -# Top level modules: -# altera_merlin_arbitrator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_wrapper -# -# Top level modules: -# sequencer_scc_acv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_phase_decode -# -# Top level modules: -# sequencer_scc_acv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer -# -# Top level modules: -# rw_manager_di_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_mem_no_ifdef_params -# -# Top level modules: -# altera_mem_if_sequencer_mem_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_reg_file -# -# Top level modules: -# sequencer_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_irq_mapper -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_irq_mapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module afi_mux_ddr3_ddrx -# -# Top level modules: -# afi_mux_ddr3_ddrx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_clock_pair_generator -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_clock_pair_generator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_valid_selector -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_valid_selector -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_acv_ldc -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_acv_ldc -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_ldc_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_ldc_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_ldc_pad -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module addr_cmd_non_ldc_pad -# -# Top level modules: -# addr_cmd_non_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset_sync -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset_sync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_fr_cycle_shifter -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_fr_cycle_shifter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_write_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_write_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_hr_to_fr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_hr_to_fr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_simple_ddio_out -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_simple_ddio_out -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_sequencer_mux_bridge -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_sequencer_mux_bridge -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_phy_csr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_phy_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_iss_probe -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_iss_probe -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_flop_mem -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_flop_mem -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_ddio_3reg_stratixiv -# -# Top level modules: -# altdq_dqs2_ddio_3reg_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_abstract -# -# Top level modules: -# altdq_dqs2_abstract -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_cal_delays -# -# Top level modules: -# altdq_dqs2_cal_delays -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim -# [mk make ip_stratixiv_ddr3_uphy_4g_single_rank_800_master] -# -# [mk compile ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/compile_ip.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/copy_hex_files.tcl -# [mk execute ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_mm_st_converter -# -# Top level modules: -# alt_mem_ddrx_mm_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd_wrap -# -# Top level modules: -# alt_mem_ddrx_addr_cmd_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr2_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr2_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr3_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr3_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_lpddr2_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_lpddr2_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_odt_gen -# -# Top level modules: -# alt_mem_ddrx_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdwr_data_tmg -# -# Top level modules: -# alt_mem_ddrx_rdwr_data_tmg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_arbiter -# -# Top level modules: -# alt_mem_ddrx_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_gen -# -# Top level modules: -# alt_mem_ddrx_burst_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_cmd_gen -# -# Top level modules: -# alt_mem_ddrx_cmd_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_csr -# -# Top level modules: -# alt_mem_ddrx_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer -# -# Top level modules: -# alt_mem_ddrx_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer_manager -# -# Top level modules: -# alt_mem_ddrx_buffer_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_tracking -# -# Top level modules: -# alt_mem_ddrx_burst_tracking -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_dataid_manager -# -# Top level modules: -# alt_mem_ddrx_dataid_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_fifo -# -# Top level modules: -# alt_mem_ddrx_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_list -# -# Top level modules: -# alt_mem_ddrx_list -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdata_path -# -# Top level modules: -# alt_mem_ddrx_rdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_wdata_path -# -# Top level modules: -# alt_mem_ddrx_wdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_32_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_64_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_decoder_wrapper -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_axi_st_converter -# -# Top level modules: -# alt_mem_ddrx_axi_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_input_if -# -# Top level modules: -# alt_mem_ddrx_input_if -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rank_timer -# -# Top level modules: -# alt_mem_ddrx_rank_timer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_sideband -# -# Top level modules: -# alt_mem_ddrx_sideband -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_tbp -# -# Top level modules: -# alt_mem_ddrx_tbp -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_timing_param -# -# Top level modules: -# alt_mem_ddrx_timing_param -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller -# -# Top level modules: -# alt_mem_ddrx_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller_st_top -# -# Top level modules: -# alt_mem_ddrx_controller_st_top -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_nextgen_ddr3_controller_core -# -# Top level modules: -# alt_mem_if_nextgen_ddr3_controller_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_c0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_c0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram -# -# Top level modules: -# rw_manager_ram -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_sc_fifo -# -# Top level modules: -# altera_avalon_sc_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_translator -# -# Top level modules: -# altera_merlin_master_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_wrapper -# -# Top level modules: -# sequencer_scc_sv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_inst_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_synchronizer -# -# Top level modules: -# altera_reset_synchronizer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_write_decoder -# -# Top level modules: -# rw_manager_write_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_bitcheck -# -# Top level modules: -# rw_manager_bitcheck -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_read_datapath -# -# Top level modules: -# rw_manager_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_controller -# -# Top level modules: -# altera_reset_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ddr3 -# -# Top level modules: -# rw_manager_ddr3 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer_wrap -# -# Top level modules: -# rw_manager_di_buffer_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_jumplogic -# -# Top level modules: -# rw_manager_jumplogic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_reg -# -# Top level modules: -# rw_manager_ac_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_data_mgr -# -# Top level modules: -# sequencer_data_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_wrapper -# -# Top level modules: -# sequencer_scc_siii_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_agent -# -# Top level modules: -# altera_merlin_master_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_decoder -# -# Top level modules: -# rw_manager_data_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_phase_decode -# -# Top level modules: -# sequencer_scc_siii_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_mux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_mux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_pattern_fifo -# -# Top level modules: -# rw_manager_pattern_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_dm_decoder -# -# Top level modules: -# rw_manager_dm_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_agent -# -# Top level modules: -# altera_merlin_slave_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_phy_mgr -# -# Top level modules: -# sequencer_phy_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_phase_decode -# -# Top level modules: -# sequencer_scc_sv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_translator -# -# Top level modules: -# altera_merlin_slave_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_reg -# -# Top level modules: -# rw_manager_inst_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_reg_file -# -# Top level modules: -# sequencer_scc_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr12 -# -# Top level modules: -# rw_manager_lfsr12 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_demux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_demux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_ac_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr72 -# -# Top level modules: -# rw_manager_lfsr72 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_mux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_mux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_datamux -# -# Top level modules: -# rw_manager_datamux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_broadcast -# -# Top level modules: -# rw_manager_data_broadcast -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_mgr -# -# Top level modules: -# sequencer_scc_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram_csr -# -# Top level modules: -# rw_manager_ram_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr36 -# -# Top level modules: -# rw_manager_lfsr36 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_generic -# -# Top level modules: -# rw_manager_generic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_001_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_core -# -# Top level modules: -# rw_manager_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_burst_uncompressor -# -# Top level modules: -# altera_merlin_burst_uncompressor -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_arbitrator -# -- Compiling module altera_merlin_arb_adder -# -# Top level modules: -# altera_merlin_arbitrator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_wrapper -# -# Top level modules: -# sequencer_scc_acv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_phase_decode -# -# Top level modules: -# sequencer_scc_acv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer -# -# Top level modules: -# rw_manager_di_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_mem_no_ifdef_params -# -# Top level modules: -# altera_mem_if_sequencer_mem_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_003_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_reg_file -# -# Top level modules: -# sequencer_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_irq_mapper -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_irq_mapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module afi_mux_ddr3_ddrx -# -# Top level modules: -# afi_mux_ddr3_ddrx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_clock_pair_generator -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_clock_pair_generator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_valid_selector -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_valid_selector -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_acv_ldc -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_acv_ldc -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_ldc_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_ldc_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_ldc_pad -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module addr_cmd_non_ldc_pad -# -# Top level modules: -# addr_cmd_non_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_memphy -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_memphy -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset_sync -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset_sync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_new_io_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_new_io_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_fr_cycle_shifter -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_fr_cycle_shifter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_write_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_write_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_hr_to_fr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_hr_to_fr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_simple_ddio_out -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_simple_ddio_out -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_sequencer_mux_bridge -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_sequencer_mux_bridge -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_phy_csr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_phy_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_iss_probe -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_iss_probe -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_flop_mem -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_flop_mem -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_altdqdqs -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_altdqdqs -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_ddio_3reg_stratixiv -# -# Top level modules: -# altdq_dqs2_ddio_3reg_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_abstract -# -# Top level modules: -# altdq_dqs2_abstract -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_cal_delays -# -# Top level modules: -# altdq_dqs2_cal_delays -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_0002 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim -# [mk vmake ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/compile_ip.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/copy_hex_files.tcl -# [mk execute ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_mm_st_converter -# -# Top level modules: -# alt_mem_ddrx_mm_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd_wrap -# -# Top level modules: -# alt_mem_ddrx_addr_cmd_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr2_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr2_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr3_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr3_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_lpddr2_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_lpddr2_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_odt_gen -# -# Top level modules: -# alt_mem_ddrx_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdwr_data_tmg -# -# Top level modules: -# alt_mem_ddrx_rdwr_data_tmg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_arbiter -# -# Top level modules: -# alt_mem_ddrx_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_gen -# -# Top level modules: -# alt_mem_ddrx_burst_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_cmd_gen -# -# Top level modules: -# alt_mem_ddrx_cmd_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_csr -# -# Top level modules: -# alt_mem_ddrx_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer -# -# Top level modules: -# alt_mem_ddrx_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer_manager -# -# Top level modules: -# alt_mem_ddrx_buffer_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_tracking -# -# Top level modules: -# alt_mem_ddrx_burst_tracking -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_dataid_manager -# -# Top level modules: -# alt_mem_ddrx_dataid_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_fifo -# -# Top level modules: -# alt_mem_ddrx_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_list -# -# Top level modules: -# alt_mem_ddrx_list -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdata_path -# -# Top level modules: -# alt_mem_ddrx_rdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_wdata_path -# -# Top level modules: -# alt_mem_ddrx_wdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_32_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_64_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_decoder_wrapper -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_axi_st_converter -# -# Top level modules: -# alt_mem_ddrx_axi_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_input_if -# -# Top level modules: -# alt_mem_ddrx_input_if -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rank_timer -# -# Top level modules: -# alt_mem_ddrx_rank_timer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_sideband -# -# Top level modules: -# alt_mem_ddrx_sideband -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_tbp -# -# Top level modules: -# alt_mem_ddrx_tbp -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_timing_param -# -# Top level modules: -# alt_mem_ddrx_timing_param -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller -# -# Top level modules: -# alt_mem_ddrx_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller_st_top -# -# Top level modules: -# alt_mem_ddrx_controller_st_top -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_nextgen_ddr3_controller_core -# -# Top level modules: -# alt_mem_if_nextgen_ddr3_controller_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_c0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_c0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram -# -# Top level modules: -# rw_manager_ram -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_sc_fifo -# -# Top level modules: -# altera_avalon_sc_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_translator -# -# Top level modules: -# altera_merlin_master_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_wrapper -# -# Top level modules: -# sequencer_scc_sv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_inst_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_synchronizer -# -# Top level modules: -# altera_reset_synchronizer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_write_decoder -# -# Top level modules: -# rw_manager_write_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_bitcheck -# -# Top level modules: -# rw_manager_bitcheck -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_read_datapath -# -# Top level modules: -# rw_manager_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_controller -# -# Top level modules: -# altera_reset_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ddr3 -# -# Top level modules: -# rw_manager_ddr3 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer_wrap -# -# Top level modules: -# rw_manager_di_buffer_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_jumplogic -# -# Top level modules: -# rw_manager_jumplogic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_reg -# -# Top level modules: -# rw_manager_ac_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_data_mgr -# -# Top level modules: -# sequencer_data_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_wrapper -# -# Top level modules: -# sequencer_scc_siii_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_agent -# -# Top level modules: -# altera_merlin_master_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_decoder -# -# Top level modules: -# rw_manager_data_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_phase_decode -# -# Top level modules: -# sequencer_scc_siii_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_mux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_mux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_pattern_fifo -# -# Top level modules: -# rw_manager_pattern_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_dm_decoder -# -# Top level modules: -# rw_manager_dm_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_agent -# -# Top level modules: -# altera_merlin_slave_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_phy_mgr -# -# Top level modules: -# sequencer_phy_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_phase_decode -# -# Top level modules: -# sequencer_scc_sv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_translator -# -# Top level modules: -# altera_merlin_slave_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_reg -# -# Top level modules: -# rw_manager_inst_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_reg_file -# -# Top level modules: -# sequencer_scc_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr12 -# -# Top level modules: -# rw_manager_lfsr12 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_demux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_demux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_ac_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr72 -# -# Top level modules: -# rw_manager_lfsr72 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_mux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_mux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_datamux -# -# Top level modules: -# rw_manager_datamux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_broadcast -# -# Top level modules: -# rw_manager_data_broadcast -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_mgr -# -# Top level modules: -# sequencer_scc_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram_csr -# -# Top level modules: -# rw_manager_ram_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr36 -# -# Top level modules: -# rw_manager_lfsr36 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_generic -# -# Top level modules: -# rw_manager_generic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_001_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_core -# -# Top level modules: -# rw_manager_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_burst_uncompressor -# -# Top level modules: -# altera_merlin_burst_uncompressor -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_arbitrator -# -- Compiling module altera_merlin_arb_adder -# -# Top level modules: -# altera_merlin_arbitrator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_wrapper -# -# Top level modules: -# sequencer_scc_acv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_phase_decode -# -# Top level modules: -# sequencer_scc_acv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer -# -# Top level modules: -# rw_manager_di_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_mem_no_ifdef_params -# -# Top level modules: -# altera_mem_if_sequencer_mem_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_003_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_reg_file -# -# Top level modules: -# sequencer_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_irq_mapper -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_irq_mapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module afi_mux_ddr3_ddrx -# -# Top level modules: -# afi_mux_ddr3_ddrx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_clock_pair_generator -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_clock_pair_generator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_valid_selector -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_valid_selector -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_acv_ldc -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_acv_ldc -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_ldc_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_ldc_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_ldc_pad -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module addr_cmd_non_ldc_pad -# -# Top level modules: -# addr_cmd_non_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_memphy -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_memphy -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset_sync -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset_sync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_new_io_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_new_io_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_fr_cycle_shifter -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_fr_cycle_shifter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_write_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_write_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_hr_to_fr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_hr_to_fr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_simple_ddio_out -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_simple_ddio_out -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_sequencer_mux_bridge -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_sequencer_mux_bridge -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_phy_csr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_phy_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_iss_probe -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_iss_probe -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_flop_mem -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_flop_mem -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_altdqdqs -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_altdqdqs -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_ddio_3reg_stratixiv -# -# Top level modules: -# altdq_dqs2_ddio_3reg_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_abstract -# -# Top level modules: -# altdq_dqs2_abstract -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_cal_delays -# -# Top level modules: -# altdq_dqs2_cal_delays -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_0002 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim -# [mk make ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave] -# -# [mk compile ip_stratixiv_ddr3_mem_model] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_ddr3_mem_model -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_mem_model/compile_ip.tcl -# [mk execute ip_stratixiv_ddr3_mem_model] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_mem_model/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_example_design -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en -# -# Top level modules: -# alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# [mk vmake ip_stratixiv_ddr3_mem_model] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_mem_model/compile_ip.tcl -# [mk execute ip_stratixiv_ddr3_mem_model] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_mem_model/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_example_design -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en -# -# Top level modules: -# alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# [mk make ip_stratixiv_ddr3_mem_model] -# -# [mk compile tech_ddr] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_ddr -# Compile of tech_ddr_pkg.vhd was successful. -# Compile of sim_ddr.vhd was successful. -# Compile of tech_ddr_component_pkg.vhd was successful. -# Compile of tech_ddr_stratixiv.vhd was successful. -# Compile of tech_ddr_arria10.vhd was successful. -# Compile of tech_ddr.vhd was successful. -# Compile of tech_ddr_mem_model_component_pkg.vhd was successful. -# Compile of tech_ddr_mem_model.vhd was successful. -# 8 compiles, 0 failed with no errors. -# [mk vmake tech_ddr] -# -# [mk make tech_ddr] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package tech_ddr_component_pkg -# -- Compiling package body tech_ddr_component_pkg -# -- Loading package tech_ddr_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package technology_pkg -# -- Compiling package tech_ddr_pkg -# -- Compiling package body tech_ddr_pkg -# -- Loading package tech_ddr_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package tech_ddr_component_pkg -# -- Compiling entity tech_ddr_stratixiv -# -- Compiling architecture str of tech_ddr_stratixiv -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package tech_ddr_mem_model_component_pkg -# -- Compiling package body tech_ddr_mem_model_component_pkg -# -- Loading package tech_ddr_mem_model_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package tech_ddr_mem_model_component_pkg -# -- Compiling entity tech_ddr_memory_model -# -- Compiling architecture str of tech_ddr_memory_model -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package tech_ddr_component_pkg -# -- Compiling entity tech_ddr_arria10 -# -- Compiling architecture str of tech_ddr_arria10 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Compiling entity sim_ddr -# -- Compiling architecture str of sim_ddr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Compiling entity tech_ddr -# -- Compiling architecture str of tech_ddr -# -- Loading package tech_ddr_component_pkg -# -- Loading entity tech_ddr_stratixiv -# -- Loading entity tech_ddr_arria10 -# -- Loading entity sim_ddr -# [mk compile io_ddr] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project io_ddr -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/copy_hex_files.tcl -# [mk execute io_ddr] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_sim -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/generated/sim -# ** Error: error copying "/home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/generated/sim/../altera_emif_arch_nf_150/sim/ip_arria10_ddr4_4g_1600_altera_emif_arch_nf_150_3yki4wa_seq_cal_sim.hex": no such file or directory -# Error in macro /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/copy_hex_files.tcl line 33 -# error copying "/home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/generated/sim/../altera_emif_arch_nf_150/sim/ip_arria10_ddr4_4g_1600_altera_emif_arch_nf_150_3yki4wa_seq_cal_sim.hex": no such file or directory -# while executing -# "file copy -force $IP_DIR/../altera_emif_arch_nf_150/sim/ip_arria10_ddr4_4g_1600_altera_emif_arch_nf_150_3yki4wa_seq_cal_sim.hex ./" -# invoked from within -# "if {[file isdirectory $IP_DIR]} { -# file copy -force $IP_DIR/../altera_emif_arch_nf_150/sim/ip_arria10_ddr4_4g_1600_altera_emif_arch_nf_150_3yki4wa_..." -# Compile of io_ddr_driver_flush_ctrl.vhd was successful. -# Compile of io_ddr_driver.vhd was successful. -# Compile of io_ddr_cross_domain.vhd was successful. -# Compile of io_ddr_reg.vhd was successful. -# Compile of io_ddr.vhd was successful. -# Compile of mms_io_ddr.vhd was successful. -# Compile of mms_io_ddr_diag.vhd was successful. -# Compile of tb_io_ddr.vhd was successful. -# Compile of tb_tb_io_ddr.vhd was successful. -# 9 compiles, 0 failed with no errors. -# [mk vmake io_ddr] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/copy_hex_files.tcl -# [mk execute io_ddr] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_sim -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/generated/sim -# ** Error: error copying "/home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/generated/sim/../altera_emif_arch_nf_150/sim/ip_arria10_ddr4_4g_1600_altera_emif_arch_nf_150_3yki4wa_seq_cal_sim.hex": no such file or directory -# Error in macro /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/copy_hex_files.tcl line 33 -# error copying "/home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/generated/sim/../altera_emif_arch_nf_150/sim/ip_arria10_ddr4_4g_1600_altera_emif_arch_nf_150_3yki4wa_seq_cal_sim.hex": no such file or directory -# while executing -# "file copy -force $IP_DIR/../altera_emif_arch_nf_150/sim/ip_arria10_ddr4_4g_1600_altera_emif_arch_nf_150_3yki4wa_seq_cal_sim.hex ./" -# invoked from within -# "if {[file isdirectory $IP_DIR]} { -# file copy -force $IP_DIR/../altera_emif_arch_nf_150/sim/ip_arria10_ddr4_4g_1600_altera_emif_arch_nf_150_3yki4wa_..." -# [mk make io_ddr] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Compiling entity io_ddr_driver -# -- Compiling architecture str of io_ddr_driver -# -- Loading entity common_evt -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity io_ddr_driver_flush_ctrl -# -- Compiling architecture str of io_ddr_driver_flush_ctrl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity io_ddr_cross_domain -# -- Compiling architecture str of io_ddr_cross_domain -# -- Loading entity common_spulse -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity io_ddr -# -- Compiling architecture str of io_ddr -# -- Loading entity io_ddr_cross_domain -# -- Loading entity dp_fifo_dc_mixed_widths -# -- Loading entity dp_flush -# -- Loading entity io_ddr_driver_flush_ctrl -# -- Loading entity io_ddr_driver -# -- Loading entity tech_ddr -# -- Loading entity common_reg_r_w_dc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Compiling entity tb_io_ddr -# -- Compiling architecture str of tb_io_ddr -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diagnostics -# -- Loading entity io_ddr -# -- Loading package tech_ddr_mem_model_component_pkg -# -- Loading entity tech_ddr_memory_model -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package tech_ddr_pkg -# -- Compiling entity tb_tb_io_ddr -# -- Compiling architecture tb of tb_tb_io_ddr -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading entity tb_io_ddr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package diag_pkg -# -- Compiling entity io_ddr_reg -# -- Compiling architecture rtl of io_ddr_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_io_ddr -# -- Compiling architecture str of mms_io_ddr -# -- Loading entity common_mem_mux -# -- Loading entity io_ddr -# -- Loading package diag_pkg -# -- Loading entity io_ddr_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package diag_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Compiling entity mms_io_ddr_diag -# -- Compiling architecture str of mms_io_ddr_diag -# -- Loading entity mms_io_ddr -# -- Loading entity mms_diag_block_gen -# -- Loading entity mms_diag_data_buffer -# [mk compile reorder] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project reorder -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# [mk execute reorder] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_sim -# Compile of reorder_pkg.vhd was successful. -# Compile of reorder_retreive.vhd was successful. -# Compile of reorder_store.vhd was successful. -# Compile of reorder_col.vhd was successful. -# Compile of reorder_col_wide.vhd was successful. -# Compile of reorder_row.vhd was successful. -# Compile of reorder_matrix.vhd was successful. -# Compile of reorder_sequencer.vhd was successful. -# Compile of reorder_transpose.vhd was successful. -# Compile of reorder_rewire.vhd was successful. -# Compile of tb_reorder_transpose.vhd was successful. -# Compile of tb_reorder_col.vhd was successful. -# Compile of tb_tb_reorder_col.vhd was successful. -# Compile of tb_reorder_col_wide.vhd was successful. -# Compile of tb_mmf_reorder_matrix.vhd was successful. -# Compile of tb_mmf_reorder_row.vhd was successful. -# 16 compiles, 0 failed with no errors. -# [mk vmake reorder] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# [mk execute reorder] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_sim -# [mk make reorder] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity reorder_retrieve -# -- Compiling architecture rtl of reorder_retrieve -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity reorder_store -# -- Compiling architecture rtl of reorder_store -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity reorder_col -# -- Compiling architecture str of reorder_col -# -- Loading entity dp_throttle_sop -# -- Loading entity reorder_store -# -- Loading entity common_paged_ram_r_w -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_crw_crw -# -- Loading entity reorder_retrieve -# -- Loading entity dp_latency_adapter -# -- Loading entity dp_paged_sop_eop_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_reorder_col -# -- Compiling architecture tb of tb_reorder_col -# -- Loading entity reorder_col -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_reorder_col -# -- Compiling architecture tb of tb_tb_reorder_col -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_reorder_col -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package reorder_pkg -# -- Compiling package body reorder_pkg -# -- Loading package reorder_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package reorder_pkg -# -- Compiling entity reorder_sequencer -# -- Compiling architecture rtl of reorder_sequencer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package reorder_pkg -# -- Compiling entity reorder_transpose -# -- Compiling architecture str of reorder_transpose -# -- Loading entity dp_sync_checker -# -- Loading entity dp_packet_merge -# -- Loading entity reorder_col -# -- Loading entity reorder_sequencer -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_fifo_sc -# -- Loading entity common_fifo_rd -# -- Loading entity dp_pipeline -# -- Loading entity dp_block_gen -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package mm_file_unb_pkg -# -- Loading package mm_file_pkg -# -- Loading package dp_stream_pkg -# -- Loading package diag_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package reorder_pkg -# -- Compiling entity tb_reorder_transpose -# -- Compiling architecture tb of tb_reorder_transpose -# -- Loading entity mms_diag_block_gen -# -- Loading entity reorder_transpose -# -- Loading entity io_ddr -# -- Loading package tech_ddr_mem_model_component_pkg -# -- Loading entity tech_ddr_memory_model -# -- Loading entity mms_diag_data_buffer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity reorder_col_wide -# -- Compiling architecture str of reorder_col_wide -# -- Loading entity common_mem_mux -# -- Loading entity reorder_col -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_reorder_col_wide -# -- Compiling architecture tb of tb_reorder_col_wide -# -- Loading entity reorder_col_wide -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity reorder_row -# -- Compiling architecture str of reorder_row -# -- Loading package common_components_pkg -# -- Loading entity common_select_m_symbols -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_crw_crw_ratio -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package mm_file_unb_pkg -# -- Loading package mm_file_pkg -# -- Loading package dp_stream_pkg -# -- Loading package diag_pkg -# -- Compiling entity tb_mmf_reorder_row -# -- Compiling architecture tb of tb_mmf_reorder_row -# -- Loading entity mms_diag_block_gen -# -- Loading entity reorder_row -# -- Loading entity mms_diag_data_buffer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity reorder_matrix -# -- Compiling architecture str of reorder_matrix -# -- Loading entity dp_throttle_sop -# -- Loading entity reorder_row -# -- Loading entity reorder_col_wide -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package mm_file_unb_pkg -# -- Loading package mm_file_pkg -# -- Loading package dp_stream_pkg -# -- Loading package diag_pkg -# -- Compiling entity tb_mmf_reorder_matrix -# -- Compiling architecture tb of tb_mmf_reorder_matrix -# -- Loading entity mms_diag_block_gen -# -- Loading entity reorder_matrix -# -- Loading entity mms_diag_data_buffer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package reorder_pkg -# -- Compiling entity reorder_rewire -# -- Compiling architecture str of reorder_rewire -# [mk compile unb1_test] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project unb1_test -# Compile of qsys_unb1_test_pkg.vhd was successful. -# Compile of unb1_test_pkg.vhd was successful. -# Compile of mmm_unb1_test.vhd was successful. -# Compile of udp_stream.vhd was successful. -# Compile of ddr_stream.vhd was successful. -# Compile of unb1_test.vhd was successful. -# Compile of tb_unb1_test.vhd was successful. -# 7 compiles, 0 failed with no errors. -# [mk vmake unb1_test] -# -# [mk make unb1_test] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Compiling package unb1_test_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package diag_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Compiling entity ddr_stream -# -- Compiling architecture str of ddr_stream -# -- Loading entity mms_io_ddr_diag -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package diag_pkg -# -- Loading package unb1_test_pkg -# -- Compiling entity udp_stream -# -- Compiling architecture str of udp_stream -# -- Loading entity mms_diag_block_gen -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_offload_tx -# -- Loading entity dp_offload_rx -# -- Loading entity mms_dp_bsn_monitor -# -- Loading entity mms_diag_data_buffer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package qsys_unb1_test_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package unb1_board_peripherals_pkg -# -- Loading package tb_common_pkg -# -- Loading package mm_file_pkg -# -- Loading package mm_file_unb_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Loading package technology_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tb_tech_tse_pkg -# -- Loading package qsys_unb1_test_pkg -# -- Loading package reorder_pkg -# -- Loading package unb1_test_pkg -# -- Compiling entity mmm_unb1_test -# -- Compiling architecture str of mmm_unb1_test -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package technology_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package diag_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package reorder_pkg -# -- Loading package unb1_test_pkg -# -- Compiling entity unb1_test -# -- Compiling architecture str of unb1_test -# -- Loading entity common_areset -# -- Loading package i2c_pkg -# -- Loading entity ctrl_unb1_board -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package unb1_board_peripherals_pkg -# -- Loading package tb_common_pkg -# -- Loading package mm_file_pkg -# -- Loading package mm_file_unb_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tb_tech_tse_pkg -# -- Loading package qsys_unb1_test_pkg -# -- Loading entity mmm_unb1_test -# -- Loading entity udp_stream -# -- Loading package technology_select_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading entity tr_10gbe -# -- Loading entity unb1_board_front_io -# -- Loading entity ddr_stream -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package tech_ddr_mem_model_component_pkg -# -- Compiling entity tb_unb1_test -# -- Compiling architecture tb of tb_unb1_test -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package diag_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Loading package reorder_pkg -# -- Loading package unb1_test_pkg -# -- Loading entity unb1_test -# -- Loading entity tech_ddr_memory_model -# -- Loading package i2c_dev_max1617_pkg -# -- Loading entity dev_max1618 -# -- Loading package i2c_dev_ltc4260_pkg -# -- Loading entity dev_ltc4260 -# [mk compile unb1_test_ddr_MB_I_II] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project unb1_test_ddr_MB_I_II -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/copy_hex_files.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/copy_hex_files.tcl -# [mk execute unb1_test_ddr_MB_I_II] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim -# Compile of unb1_test_ddr_MB_I_II.vhd was successful. -# Compile of tb_unb1_test_ddr_MB_I_II.vhd was successful. -# 2 compiles, 0 failed with no errors. -# [mk vmake unb1_test_ddr_MB_I_II] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/copy_hex_files.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/copy_hex_files.tcl -# [mk execute unb1_test_ddr_MB_I_II] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim -# [mk make unb1_test_ddr_MB_I_II] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Compiling entity unb1_test_ddr_mb_i_ii -# -- Compiling architecture str of unb1_test_ddr_mb_i_ii -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package diag_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Loading package reorder_pkg -# -- Loading package unb1_test_pkg -# -- Loading entity unb1_test -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_unb1_test_ddr_mb_i_ii -# -- Compiling architecture tb of tb_unb1_test_ddr_mb_i_ii -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package tech_ddr_mem_model_component_pkg -# -- Loading entity tb_unb1_test -# unb1_test_ddr_MB_I_II -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim -vsim -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L stratixiv_ver -L stratixiv_hssi_ver -L stratixiv_pcie_hip_ver -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L stratixiv -L stratixiv_hssi -L stratixiv_pcie_hip -novopt work.tb_unb1_test_ddr_MB_I_II -# vsim +nowarn8684 +nowarn8683 +nowarnTFMPC +nowarnPCDPC -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L stratixiv_ver -L stratixiv_hssi_ver -L stratixiv_pcie_hip_ver -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L stratixiv -L stratixiv_hssi -L stratixiv_pcie_hip -novopt work.tb_unb1_test_ddr_MB_I_II -# Loading std.standard -# Loading ieee.std_logic_1164(body) -# Loading ieee.numeric_std(body) -# Loading ieee.math_real(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_pkg(body) -# Loading common_lib.common_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.dp_stream_pkg(body) -# Loading dp_lib.dp_stream_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_pkg(body) -# Loading unb1_board_lib.unb1_board_pkg(body) -# Loading std.textio(body) -# Loading ieee.std_logic_textio(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.tb_common_pkg(body) -# Loading common_lib.tb_common_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/technology/work.technology_pkg(body) -# Loading technology_lib.technology_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_ddr/work.tech_ddr_pkg(body) -# Loading tech_ddr_lib.tech_ddr_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_ddr/work.tech_ddr_mem_model_component_pkg(body) -# Loading tech_ddr_lib.tech_ddr_mem_model_component_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test_ddr_MB_I_II/work.tb_unb1_test_ddr_mb_i_ii(tb) -# Loading work.tb_unb1_test_ddr_mb_i_ii(tb) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_mem_pkg(body) -# Loading common_lib.common_mem_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_interface_layers_pkg(body) -# Loading common_lib.common_interface_layers_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_network_layers_pkg(body) -# Loading common_lib.common_network_layers_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_str_pkg(body) -# Loading common_lib.common_str_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_field_pkg(body) -# Loading common_lib.common_field_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/diag/work.diag_pkg(body) -# Loading diag_lib.diag_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_tse/work.tech_tse_pkg(body) -# Loading tech_tse_lib.tech_tse_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/eth/work.eth_pkg(body) -# Loading eth_lib.eth_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/reorder/work.reorder_pkg(body) -# Loading reorder_lib.reorder_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work.unb1_test_pkg -# Loading unb1_test_lib.unb1_test_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.i2c_dev_max1617_pkg -# Loading i2c_lib.i2c_dev_max1617_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.i2c_dev_ltc4260_pkg -# Loading i2c_lib.i2c_dev_ltc4260_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work.tb_unb1_test(tb) -# Loading unb1_test_lib.tb_unb1_test(tb) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.i2c_pkg(body) -# Loading i2c_lib.i2c_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.tb_common_mem_pkg(body) -# Loading common_lib.tb_common_mem_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_network_total_header_pkg(body) -# Loading common_lib.common_network_total_header_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_peripherals_pkg(body) -# Loading unb1_board_lib.unb1_board_peripherals_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/mm/work.mm_file_pkg(body) -# Loading mm_lib.mm_file_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/mm/work.mm_file_unb_pkg(body) -# Loading mm_lib.mm_file_unb_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_lfsr_sequences_pkg(body) -# Loading common_lib.common_lfsr_sequences_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.tb_dp_pkg(body) -# Loading dp_lib.tb_dp_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_tse/work.tb_tech_tse_pkg(body) -# Loading tech_tse_lib.tb_tech_tse_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work.qsys_unb1_test_pkg -# Loading unb1_test_lib.qsys_unb1_test_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/technology/work.technology_select_pkg -# Loading technology_lib.technology_select_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_mac_10g/work.tech_mac_10g_component_pkg(body) -# Loading tech_mac_10g_lib.tech_mac_10g_component_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work.unb1_test(str) -# Loading unb1_test_lib.unb1_test(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_areset(str) -# Loading common_lib.common_areset(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_async(rtl) -# Loading common_lib.common_async(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_flash/work.tech_flash_component_pkg(body) -# Loading tech_flash_lib.tech_flash_component_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.ctrl_unb1_board(str) -# Loading unb1_board_lib.ctrl_unb1_board(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_pll/work.tech_pll_component_pkg -# Loading tech_pll_lib.tech_pll_component_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_clk200_pll(stratix4) -# Loading unb1_board_lib.unb1_board_clk200_pll(stratix4) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_pll/work.tech_pll_clk200(str) -# Loading tech_pll_lib.tech_pll_clk200(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_pll/work.ip_stratixiv_pll_clk200(syn) -# Loading ip_stratixiv_pll_lib.ip_stratixiv_pll_clk200(syn) -# Loading altera_mf.altera_device_families(body) -# Loading altera_mf.mf_pllpack(body) -# Loading ieee.std_logic_arith(body) -# Loading ieee.std_logic_unsigned(body) -# Loading altera_mf.altpll(behavior) -# Loading altera_mf.mf_stratixiii_pll(vital_pll) -# Loading altera_mf.mf_ttn_mn_cntr(behave) -# Loading altera_mf.mf_ttn_scale_cntr(behave) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_clk25_pll(stratixiv) -# Loading unb1_board_lib.unb1_board_clk25_pll(stratixiv) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_pll/work.tech_pll_clk25(str) -# Loading tech_pll_lib.tech_pll_clk25(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_pll_clk25/work.ip_stratixiv_pll_clk25(syn) -# Loading ip_stratixiv_pll_clk25_lib.ip_stratixiv_pll_clk25(syn) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_node_ctrl(str) -# Loading unb1_board_lib.unb1_board_node_ctrl(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_clk_rst(str) -# Loading unb1_board_lib.unb1_board_clk_rst(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_pulser_us_ms_s(str) -# Loading common_lib.common_pulser_us_ms_s(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_pulser(rtl) -# Loading common_lib.common_pulser(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_counter(rtl) -# Loading common_lib.common_counter(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_wdi_extend(str) -# Loading unb1_board_lib.unb1_board_wdi_extend(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_evt(rtl) -# Loading common_lib.common_evt(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.mms_unb1_board_system_info(str) -# Loading unb1_board_lib.mms_unb1_board_system_info(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_system_info(str) -# Loading unb1_board_lib.unb1_board_system_info(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_system_info_reg(rtl) -# Loading unb1_board_lib.unb1_board_system_info_reg(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_rom(str) -# Loading common_lib.common_rom(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_ram_r_w(str) -# Loading common_lib.common_ram_r_w(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_ram_rw_rw(str) -# Loading common_lib.common_ram_rw_rw(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_memory/work.tech_memory_component_pkg -# Loading tech_memory_lib.tech_memory_component_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_ram_crw_crw(str) -# Loading common_lib.common_ram_crw_crw(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_memory/work.tech_memory_ram_crw_crw(str) -# Loading tech_memory_lib.tech_memory_ram_crw_crw(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ram/work.ip_stratixiv_ram_crw_crw(syn) -# Loading ip_stratixiv_ram_lib.ip_stratixiv_ram_crw_crw(syn) -# Loading altera_mf.altera_common_conversion(body) -# Loading altera_mf.altsyncram(translated) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_pipeline(rtl) -# Loading common_lib.common_pipeline(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_toggle(rtl) -# Loading common_lib.common_toggle(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_wdi_reg(rtl) -# Loading unb1_board_lib.unb1_board_wdi_reg(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/remu/work.mms_remu(str) -# Loading remu_lib.mms_remu(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_flash/work.tech_flash_remote_update(str) -# Loading tech_flash_lib.tech_flash_remote_update(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_flash/work.ip_stratixiv_remote_update(rtl) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_remote_update(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_flash/work.ip_stratixiv_remote_update_rmtupdt_jol(rtl) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_remote_update_rmtupdt_jol(rtl) -# Loading lpm.lpm_components -# Loading lpm.lpm_common_conversion(body) -# Loading lpm.lpm_counter(lpm_syn) -# Loading ieee.vital_timing(body) -# Loading ieee.vital_primitives(body) -# Loading stratixiv.stratixiv_atom_pack(body) -# Loading stratixiv.stratixiv_rublock(architecture_rublock) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/remu/work.remu_reg(rtl) -# Loading remu_lib.remu_reg(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_spulse(rtl) -# Loading common_lib.common_spulse(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_switch(rtl) -# Loading common_lib.common_switch(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_reg_cross_domain(rtl) -# Loading common_lib.common_reg_cross_domain(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/epcs/work.mms_epcs(str) -# Loading epcs_lib.mms_epcs(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/epcs/work.epcs_reg(rtl) -# Loading epcs_lib.epcs_reg(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.dp_fifo_dc_mixed_widths(str) -# Loading dp_lib.dp_fifo_dc_mixed_widths(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_fifo/work.tech_fifo_component_pkg -# Loading tech_fifo_lib.tech_fifo_component_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_fifo_dc_mixed_widths(str) -# Loading common_lib.common_fifo_dc_mixed_widths(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_fifo/work.tech_fifo_dc_mixed_widths(str) -# Loading tech_fifo_lib.tech_fifo_dc_mixed_widths(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_fifo/work.ip_stratixiv_fifo_dc_mixed_widths(syn) -# Loading ip_stratixiv_fifo_lib.ip_stratixiv_fifo_dc_mixed_widths(syn) -# Loading altera_mf.altera_mf_hint_evaluation(body) -# Loading altera_mf.dcfifo_mixed_widths(behavior) -# Loading altera_mf.dcfifo_async(behavior) -# Loading altera_mf.dcfifo_dffpipe(behavior) -# Loading altera_mf.dcfifo_fefifo(behavior) -# Loading altera_mf.dcfifo_sync(behavior) -# Loading altera_mf.dcfifo_low_latency(behavior) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.dp_latency_adapter(rtl) -# Loading dp_lib.dp_latency_adapter(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_flash/work.tech_flash_asmi_parallel(str) -# Loading tech_flash_lib.tech_flash_asmi_parallel(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_flash/work.ip_stratixiv_asmi_parallel(rtl) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_asmi_parallel(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.def -# Loading numonyx_m25p128_lib.def -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.cuicommanddata(body) -# Loading numonyx_m25p128_lib.cuicommanddata(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.data -# Loading numonyx_m25p128_lib.data -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.timingdata -# Loading numonyx_m25p128_lib.timingdata -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.stringlib(body) -# Loading numonyx_m25p128_lib.stringlib(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.blocklib(body) -# Loading numonyx_m25p128_lib.blocklib(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_flash/work.ip_stratixiv_asmi_parallel_altasmi_parallel_15a2(rtl) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_asmi_parallel_altasmi_parallel_15a2(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.m25p128(behavior) -# Loading numonyx_m25p128_lib.m25p128(behavior) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.cuidecoder_entity(behavior) -# Loading numonyx_m25p128_lib.cuidecoder_entity(behavior) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.blocklock_entity(behavior) -# Loading numonyx_m25p128_lib.blocklock_entity(behavior) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.statusregister_entity(behavior) -# Loading numonyx_m25p128_lib.statusregister_entity(behavior) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.kernel_entity(behavior) -# Loading numonyx_m25p128_lib.kernel_entity(behavior) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.memorylib(body) -# Loading numonyx_m25p128_lib.memorylib(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.memory_entity(behavior) -# Loading numonyx_m25p128_lib.memory_entity(behavior) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.program_entity(behavior) -# Loading numonyx_m25p128_lib.program_entity(behavior) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.erase_entity(behavior) -# Loading numonyx_m25p128_lib.erase_entity(behavior) -# Loading ieee.std_logic_signed(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.timingcheck_entity(behavior) -# Loading numonyx_m25p128_lib.timingcheck_entity(behavior) -# Loading altera_mf.a_graycounter(behavior) -# Loading lpm.lpm_compare(lpm_syn) -# Loading lpm.lpm_compare_unsigned(lpm_syn) -# Loading altera_mf.scfifo(behavior) -# Loading stratixiv.stratixiv_asmiblock(architecture_asmiblock) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.mms_dp_fifo_to_mm(str) -# Loading dp_lib.mms_dp_fifo_to_mm(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.dp_fifo_to_mm(str) -# Loading dp_lib.dp_fifo_to_mm(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.dp_fifo_to_mm_reg(rtl) -# Loading dp_lib.dp_fifo_to_mm_reg(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.mms_dp_fifo_from_mm(str) -# Loading dp_lib.mms_dp_fifo_from_mm(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.dp_fifo_from_mm(str) -# Loading dp_lib.dp_fifo_from_mm(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.dp_fifo_from_mm_reg(rtl) -# Loading dp_lib.dp_fifo_from_mm_reg(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ppsh/work.mms_ppsh(str) -# Loading ppsh_lib.mms_ppsh(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ppsh/work.ppsh(rtl) -# Loading ppsh_lib.ppsh(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_iobuf/work.tech_iobuf_component_pkg -# Loading tech_iobuf_lib.tech_iobuf_component_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_ddio_in(str) -# Loading common_lib.common_ddio_in(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_iobuf/work.tech_iobuf_ddio_in(str) -# Loading tech_iobuf_lib.tech_iobuf_ddio_in(str) -# Loading altera_mf.altera_mf_components -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddio/work.ip_stratixiv_ddio_in(str) -# Loading ip_stratixiv_ddio_lib.ip_stratixiv_ddio_in(str) -# Loading altera_mf.altddio_in(behave) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_interval_monitor(rtl) -# Loading common_lib.common_interval_monitor(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_pipeline_sl(str) -# Loading common_lib.common_pipeline_sl(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_stable_monitor(rtl) -# Loading common_lib.common_stable_monitor(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ppsh/work.ppsh_reg(rtl) -# Loading ppsh_lib.ppsh_reg(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.mms_unb1_board_sens(str) -# Loading unb1_board_lib.mms_unb1_board_sens(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_sens_reg(rtl) -# Loading unb1_board_lib.unb1_board_sens_reg(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.i2c_smbus_pkg -# Loading i2c_lib.i2c_smbus_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_sens(str) -# Loading unb1_board_lib.unb1_board_sens(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_sens_ctrl(rtl) -# Loading unb1_board_lib.unb1_board_sens_ctrl(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.i2c_smbus(rtl) -# Loading i2c_lib.i2c_smbus(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.i2c_byte(structural) -# Loading i2c_lib.i2c_byte(structural) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.i2c_bit(rtl) -# Loading i2c_lib.i2c_bit(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_pulse_extend(rtl) -# Loading common_lib.common_pulse_extend(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work.mmm_unb1_test(str) -# Loading unb1_test_lib.mmm_unb1_test(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/mm/work.mm_file(str) -# Loading mm_lib.mm_file(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work.ddr_stream(str) -# Loading unb1_test_lib.ddr_stream(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/io_ddr/work.mms_io_ddr_diag(str) -# Loading io_ddr_lib.mms_io_ddr_diag(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/io_ddr/work.mms_io_ddr(str) -# Loading io_ddr_lib.mms_io_ddr(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_mem_mux(rtl) -# Loading common_lib.common_mem_mux(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/io_ddr/work.io_ddr(str) -# Loading io_ddr_lib.io_ddr(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/io_ddr/work.io_ddr_cross_domain(str) -# Loading io_ddr_lib.io_ddr_cross_domain(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.dp_latency_increase(rtl) -# Loading dp_lib.dp_latency_increase(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.dp_flush(rtl) -# Loading dp_lib.dp_flush(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/io_ddr/work.io_ddr_driver_flush_ctrl(str) -# Loading io_ddr_lib.io_ddr_driver_flush_ctrl(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/io_ddr/work.io_ddr_driver(str) -# Loading io_ddr_lib.io_ddr_driver(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_ddr/work.tech_ddr_component_pkg(body) -# Loading tech_ddr_lib.tech_ddr_component_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_ddr/work.tech_ddr(str) -# Loading tech_ddr_lib.tech_ddr(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_ddr/work.tech_ddr_stratixiv(str) -# Loading tech_ddr_lib.tech_ddr_stratixiv(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002 -# Loading sv_std.std -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset_sync -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset_sync -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_datapath -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_fr_cycle_shifter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_fr_cycle_shifter -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_write_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_write_datapath -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads -# Loading altera_mf_ver.altddio_out -# Loading altera_mf_ver.ALTERA_DEVICE_FAMILIES -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.afi_mux_ddr3_ddrx -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.afi_mux_ddr3_ddrx -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# Loading altera_mf_ver.altsyncram -# Loading altera_mf_ver.ALTERA_MF_MEMORY_INITIALIZATION -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.sequencer_scc_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_mgr -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.sequencer_scc_reg_file -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_reg_file -# Loading altera_mf_ver.altdpram -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.sequencer_reg_file -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_reg_file -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.sequencer_phy_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_phy_mgr -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.sequencer_data_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_data_mgr -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_ddr3 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_ddr3 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_generic -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_generic -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_core -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_core -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_di_buffer_wrap -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_di_buffer_wrap -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_di_buffer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_di_buffer -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_write_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_write_decoder -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_data_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_data_decoder -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_dm_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_dm_decoder -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_lfsr12 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_lfsr12 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_read_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_read_datapath -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_bitcheck -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_bitcheck -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_pattern_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_pattern_fifo -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_data_broadcast -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_data_broadcast -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_jumplogic -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_jumplogic -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_mem_if_sequencer_mem_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_mem_no_ifdef_params -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_merlin_master_translator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_master_translator -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_merlin_slave_translator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_slave_translator -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_merlin_slave_agent -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_slave_agent -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_merlin_burst_uncompressor -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_burst_uncompressor -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_avalon_sc_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_avalon_sc_fifo -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_merlin_master_agent -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_master_agent -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_default_decode -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001_default_decode -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_default_decode -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003_default_decode -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_reset_controller -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_reset_controller -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux_001 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux_001 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_mux_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_mux_003 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_merlin_arbitrator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_arbitrator -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_merlin_arb_adder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_arb_adder -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_demux_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_demux_003 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_mux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_mux -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_irq_mapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_irq_mapper -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_if_nextgen_ddr3_controller_core -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_if_nextgen_ddr3_controller_core -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_controller_st_top -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_controller_st_top -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_controller -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_controller -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_input_if -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_input_if -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_cmd_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_cmd_gen -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_tbp -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_tbp -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_arbiter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_arbiter -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_burst_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_burst_gen -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_addr_cmd_wrap -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_addr_cmd_wrap -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_rdwr_data_tmg -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_rdwr_data_tmg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_wdata_path -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_wdata_path -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_list -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_list -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_burst_tracking -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_burst_tracking -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_dataid_manager -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_dataid_manager -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_fifo -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_rdata_path -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_rdata_path -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_sideband -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_sideband -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_rank_timer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_rank_timer -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_timing_param -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_timing_param -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_mm_st_converter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_mm_st_converter -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_mem_if_oct_stratixiv -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_oct_stratixiv -# Loading stratixiv_ver.stratixiv_termination -# Loading stratixiv_ver.stratixiv_termination_aux_clock_div -# Loading stratixiv_ver.stratixiv_rt_sm -# Loading stratixiv_ver.stratixiv_termination_logic -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_mem_if_dll_stratixiv -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_dll_stratixiv -# Loading stratixiv_ver.stratixiv_dll -# Loading altera_lnsim_ver.altera_lnsim_functions -# Loading altera_lnsim_ver.altera_pll -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_valid_selector -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_valid_selector -# Loading lpm_ver.lpm_decode -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_flop_mem -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_flop_mem -# Loading lpm_ver.lpm_mux -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_clock_pair_generator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_clock_pair_generator -# Loading stratixiv_ver.stratixiv_io_obuf -# Loading stratixiv_ver.stratixiv_pseudo_diff_out -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.sequencer_scc_siii_wrapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_siii_wrapper -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.sequencer_scc_siii_phase_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_siii_phase_decode -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_lfsr36 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_lfsr36 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_inst_ROM_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_inst_ROM_no_ifdef_params -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_ac_ROM_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_ac_ROM_no_ifdef_params -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_datamux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_datamux -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_reset_synchronizer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_reset_synchronizer -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_addr_cmd -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_addr_cmd -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_odt_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_odt_gen -# Loading altera_mf_ver.scfifo -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_buffer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_buffer -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_ecc_encoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ecc_encoder -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_ecc_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ecc_decoder -# Loading altera_lnsim_ver.altera_generic_pll_functions -# Loading altera_lnsim_ver.generic_pll -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altdq_dqs2_abstract -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altdq_dqs2_abstract -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_ddr2_odt_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ddr2_odt_gen -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_ddr3_odt_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ddr3_odt_gen -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altdq_dqs2_cal_delays -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altdq_dqs2_cal_delays -# Loading stratixiv_ver.stratixiv_io_config -# Loading stratixiv_ver.stratixiv_dqs_config -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_reg_r_w_dc(str) -# Loading common_lib.common_reg_r_w_dc(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_reg_r_w(rtl) -# Loading common_lib.common_reg_r_w(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/io_ddr/work.io_ddr_reg(rtl) -# Loading io_ddr_lib.io_ddr_reg(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/diag/work.mms_diag_block_gen(rtl) -# Loading diag_lib.mms_diag_block_gen(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/diag/work.mms_diag_tx_seq(str) -# Loading diag_lib.mms_diag_tx_seq(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/diag/work.diag_tx_seq(rtl) -# Loading diag_lib.diag_tx_seq(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/diag/work.mms_diag_data_buffer(str) -# Loading diag_lib.mms_diag_data_buffer(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/diag/work.mms_diag_rx_seq(str) -# Loading diag_lib.mms_diag_rx_seq(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/diag/work.diag_rx_seq(rtl) -# Loading diag_lib.diag_rx_seq(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_0002 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_0002 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_memphy -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_memphy -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset_sync -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset_sync -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_datapath -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_fr_cycle_shifter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_fr_cycle_shifter -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_write_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_write_datapath -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_datapath -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_new_io_pads -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_new_io_pads -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_pads -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_pads -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.afi_mux_ddr3_ddrx -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.afi_mux_ddr3_ddrx -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.sequencer_scc_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.sequencer_scc_mgr -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.sequencer_scc_reg_file -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.sequencer_scc_reg_file -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.sequencer_reg_file -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.sequencer_reg_file -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.sequencer_phy_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.sequencer_phy_mgr -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.sequencer_data_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.sequencer_data_mgr -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.rw_manager_ddr3 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_ddr3 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.rw_manager_generic -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_generic -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.rw_manager_core -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_core -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.rw_manager_di_buffer_wrap -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_di_buffer_wrap -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.rw_manager_di_buffer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_di_buffer -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.rw_manager_write_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_write_decoder -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.rw_manager_data_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_data_decoder -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.rw_manager_dm_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_dm_decoder -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.rw_manager_lfsr12 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_lfsr12 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.rw_manager_read_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_read_datapath -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.rw_manager_bitcheck -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_bitcheck -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.rw_manager_pattern_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_pattern_fifo -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.rw_manager_data_broadcast -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_data_broadcast -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.rw_manager_jumplogic -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_jumplogic -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.altera_mem_if_sequencer_mem_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_mem_if_sequencer_mem_no_ifdef_params -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.altera_merlin_master_translator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_merlin_master_translator -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.altera_merlin_slave_translator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_merlin_slave_translator -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.altera_merlin_slave_agent -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_merlin_slave_agent -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.altera_merlin_burst_uncompressor -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_merlin_burst_uncompressor -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.altera_avalon_sc_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_avalon_sc_fifo -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.altera_merlin_master_agent -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_merlin_master_agent -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_default_decode -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_001 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_001 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_001_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_001_default_decode -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_default_decode -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_003 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_003_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_003_default_decode -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.altera_reset_controller -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_reset_controller -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux_001 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux_001 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_mux_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_mux_003 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.altera_merlin_arbitrator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_merlin_arbitrator -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.altera_merlin_arb_adder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_merlin_arb_adder -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_demux_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_demux_003 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_mux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_mux -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_irq_mapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_irq_mapper -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_c0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_c0 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_if_nextgen_ddr3_controller_core -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_if_nextgen_ddr3_controller_core -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_controller_st_top -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_controller_st_top -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_controller -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_controller -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_input_if -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_input_if -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_cmd_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_cmd_gen -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_tbp -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_tbp -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_arbiter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_arbiter -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_burst_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_burst_gen -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_addr_cmd_wrap -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_addr_cmd_wrap -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_rdwr_data_tmg -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_rdwr_data_tmg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_wdata_path -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_wdata_path -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_list -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_list -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_burst_tracking -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_burst_tracking -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_dataid_manager -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_dataid_manager -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_fifo -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_rdata_path -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_rdata_path -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_sideband -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_sideband -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_rank_timer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_rank_timer -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_timing_param -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_timing_param -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_mm_st_converter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_mm_st_converter -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_valid_selector -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_valid_selector -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_flop_mem -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_flop_mem -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_clock_pair_generator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_clock_pair_generator -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_altdqdqs -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_altdqdqs -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.sequencer_scc_siii_wrapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.sequencer_scc_siii_wrapper -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.sequencer_scc_siii_phase_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.sequencer_scc_siii_phase_decode -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.rw_manager_lfsr36 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_lfsr36 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.rw_manager_inst_ROM_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_inst_ROM_no_ifdef_params -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.rw_manager_ac_ROM_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_ac_ROM_no_ifdef_params -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.rw_manager_datamux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_datamux -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.altera_reset_synchronizer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_reset_synchronizer -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_addr_cmd -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_addr_cmd -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_odt_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_odt_gen -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_buffer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_buffer -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_ecc_encoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_ecc_encoder -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_ecc_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_ecc_decoder -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.altdq_dqs2_abstract -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altdq_dqs2_abstract -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_ddr2_odt_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_ddr2_odt_gen -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.alt_mem_ddrx_ddr3_odt_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_ddr3_odt_gen -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/work.altdq_dqs2_cal_delays -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altdq_dqs2_cal_delays -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.dev_max1618(beh) -# Loading i2c_lib.dev_max1618(beh) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.i2c_slv_device(beh) -# Loading i2c_lib.i2c_slv_device(beh) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.dev_ltc4260(beh) -# Loading i2c_lib.dev_ltc4260(beh) -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller.v(1830): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Fatal: (vsim-3808) Incompatible modes for port "afi_clk". -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# ** Fatal: (vsim-3808) Incompatible modes for port "afi_reset_n". -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# ** Fatal: (vsim-3808) Incompatible modes for port "pll_mem_clk". -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_controller.v(1830): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# Error loading design -# Compile of unb1_test_ddr_MB_I_II.vhd was successful. -# Compile of tb_unb1_test_ddr_MB_I_II.vhd was successful. -# 2 compiles, 0 failed with no errors. -vsim -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L stratixiv_ver -L stratixiv_hssi_ver -L stratixiv_pcie_hip_ver -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L stratixiv -L stratixiv_hssi -L stratixiv_pcie_hip -novopt work.tb_unb1_test_ddr_MB_I_II -# vsim +nowarn8684 +nowarn8683 +nowarnTFMPC +nowarnPCDPC -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L stratixiv_ver -L stratixiv_hssi_ver -L stratixiv_pcie_hip_ver -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L stratixiv -L stratixiv_hssi -L stratixiv_pcie_hip -novopt work.tb_unb1_test_ddr_MB_I_II -# Loading std.standard -# Loading ieee.std_logic_1164(body) -# Loading ieee.numeric_std(body) -# Loading ieee.math_real(body) -# Loading common_lib.common_pkg(body) -# Loading dp_lib.dp_stream_pkg(body) -# Loading unb1_board_lib.unb1_board_pkg(body) -# Loading std.textio(body) -# Loading ieee.std_logic_textio(body) -# Loading common_lib.tb_common_pkg(body) -# Loading technology_lib.technology_pkg(body) -# Loading tech_ddr_lib.tech_ddr_pkg(body) -# Loading tech_ddr_lib.tech_ddr_mem_model_component_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test_ddr_MB_I_II/work.tb_unb1_test_ddr_mb_i_ii(tb) -# Loading work.tb_unb1_test_ddr_mb_i_ii(tb) -# Loading common_lib.common_mem_pkg(body) -# Loading common_lib.common_interface_layers_pkg(body) -# Loading common_lib.common_network_layers_pkg(body) -# Loading common_lib.common_str_pkg(body) -# Loading common_lib.common_field_pkg(body) -# Loading diag_lib.diag_pkg(body) -# Loading tech_tse_lib.tech_tse_pkg(body) -# Loading eth_lib.eth_pkg(body) -# Loading reorder_lib.reorder_pkg(body) -# Loading unb1_test_lib.unb1_test_pkg -# Loading i2c_lib.i2c_dev_max1617_pkg -# Loading i2c_lib.i2c_dev_ltc4260_pkg -# Loading unb1_test_lib.tb_unb1_test(tb) -# Loading i2c_lib.i2c_pkg(body) -# Loading common_lib.tb_common_mem_pkg(body) -# Loading common_lib.common_network_total_header_pkg(body) -# Loading unb1_board_lib.unb1_board_peripherals_pkg(body) -# Loading mm_lib.mm_file_pkg(body) -# Loading mm_lib.mm_file_unb_pkg(body) -# Loading common_lib.common_lfsr_sequences_pkg(body) -# Loading dp_lib.tb_dp_pkg(body) -# Loading tech_tse_lib.tb_tech_tse_pkg(body) -# Loading unb1_test_lib.qsys_unb1_test_pkg -# Loading technology_lib.technology_select_pkg -# Loading tech_mac_10g_lib.tech_mac_10g_component_pkg(body) -# Loading unb1_test_lib.unb1_test(str) -# Loading common_lib.common_areset(str) -# Loading common_lib.common_async(rtl) -# Loading tech_flash_lib.tech_flash_component_pkg(body) -# Loading unb1_board_lib.ctrl_unb1_board(str) -# Loading tech_pll_lib.tech_pll_component_pkg -# Loading unb1_board_lib.unb1_board_clk200_pll(stratix4) -# Loading tech_pll_lib.tech_pll_clk200(str) -# Loading ip_stratixiv_pll_lib.ip_stratixiv_pll_clk200(syn) -# Loading altera_mf.altera_device_families(body) -# Loading altera_mf.mf_pllpack(body) -# Loading ieee.std_logic_arith(body) -# Loading ieee.std_logic_unsigned(body) -# Loading altera_mf.altpll(behavior) -# Loading altera_mf.mf_stratixiii_pll(vital_pll) -# Loading altera_mf.mf_ttn_mn_cntr(behave) -# Loading altera_mf.mf_ttn_scale_cntr(behave) -# Loading unb1_board_lib.unb1_board_clk25_pll(stratixiv) -# Loading tech_pll_lib.tech_pll_clk25(str) -# Loading ip_stratixiv_pll_clk25_lib.ip_stratixiv_pll_clk25(syn) -# Loading unb1_board_lib.unb1_board_node_ctrl(str) -# Loading unb1_board_lib.unb1_board_clk_rst(str) -# Loading common_lib.common_pulser_us_ms_s(str) -# Loading common_lib.common_pulser(rtl) -# Loading common_lib.common_counter(rtl) -# Loading unb1_board_lib.unb1_board_wdi_extend(str) -# Loading common_lib.common_evt(rtl) -# Loading unb1_board_lib.mms_unb1_board_system_info(str) -# Loading unb1_board_lib.unb1_board_system_info(str) -# Loading unb1_board_lib.unb1_board_system_info_reg(rtl) -# Loading common_lib.common_rom(str) -# Loading common_lib.common_ram_r_w(str) -# Loading common_lib.common_ram_rw_rw(str) -# Loading tech_memory_lib.tech_memory_component_pkg -# Loading common_lib.common_ram_crw_crw(str) -# Loading tech_memory_lib.tech_memory_ram_crw_crw(str) -# Loading ip_stratixiv_ram_lib.ip_stratixiv_ram_crw_crw(syn) -# Loading altera_mf.altera_common_conversion(body) -# Loading altera_mf.altsyncram(translated) -# Loading common_lib.common_pipeline(rtl) -# Loading common_lib.common_toggle(rtl) -# Loading unb1_board_lib.unb1_board_wdi_reg(rtl) -# Loading remu_lib.mms_remu(str) -# Loading tech_flash_lib.tech_flash_remote_update(str) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_remote_update(rtl) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_remote_update_rmtupdt_jol(rtl) -# Loading lpm.lpm_components -# Loading lpm.lpm_common_conversion(body) -# Loading lpm.lpm_counter(lpm_syn) -# Loading ieee.vital_timing(body) -# Loading ieee.vital_primitives(body) -# Loading stratixiv.stratixiv_atom_pack(body) -# Loading stratixiv.stratixiv_rublock(architecture_rublock) -# Loading remu_lib.remu_reg(rtl) -# Loading common_lib.common_spulse(rtl) -# Loading common_lib.common_switch(rtl) -# Loading common_lib.common_reg_cross_domain(rtl) -# Loading epcs_lib.mms_epcs(str) -# Loading epcs_lib.epcs_reg(rtl) -# Loading dp_lib.dp_fifo_dc_mixed_widths(str) -# Loading tech_fifo_lib.tech_fifo_component_pkg -# Loading common_lib.common_fifo_dc_mixed_widths(str) -# Loading tech_fifo_lib.tech_fifo_dc_mixed_widths(str) -# Loading ip_stratixiv_fifo_lib.ip_stratixiv_fifo_dc_mixed_widths(syn) -# Loading altera_mf.altera_mf_hint_evaluation(body) -# Loading altera_mf.dcfifo_mixed_widths(behavior) -# Loading altera_mf.dcfifo_async(behavior) -# Loading altera_mf.dcfifo_dffpipe(behavior) -# Loading altera_mf.dcfifo_fefifo(behavior) -# Loading altera_mf.dcfifo_sync(behavior) -# Loading altera_mf.dcfifo_low_latency(behavior) -# Loading dp_lib.dp_latency_adapter(rtl) -# Loading tech_flash_lib.tech_flash_asmi_parallel(str) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_asmi_parallel(rtl) -# Loading numonyx_m25p128_lib.def -# Loading numonyx_m25p128_lib.cuicommanddata(body) -# Loading numonyx_m25p128_lib.data -# Loading numonyx_m25p128_lib.timingdata -# Loading numonyx_m25p128_lib.stringlib(body) -# Loading numonyx_m25p128_lib.blocklib(body) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_asmi_parallel_altasmi_parallel_15a2(rtl) -# Loading numonyx_m25p128_lib.m25p128(behavior) -# Loading numonyx_m25p128_lib.cuidecoder_entity(behavior) -# Loading numonyx_m25p128_lib.blocklock_entity(behavior) -# Loading numonyx_m25p128_lib.statusregister_entity(behavior) -# Loading numonyx_m25p128_lib.kernel_entity(behavior) -# Loading numonyx_m25p128_lib.memorylib(body) -# Loading numonyx_m25p128_lib.memory_entity(behavior) -# Loading numonyx_m25p128_lib.program_entity(behavior) -# Loading numonyx_m25p128_lib.erase_entity(behavior) -# Loading ieee.std_logic_signed(body) -# Loading numonyx_m25p128_lib.timingcheck_entity(behavior) -# Loading altera_mf.a_graycounter(behavior) -# Loading lpm.lpm_compare(lpm_syn) -# Loading lpm.lpm_compare_unsigned(lpm_syn) -# Loading altera_mf.scfifo(behavior) -# Loading stratixiv.stratixiv_asmiblock(architecture_asmiblock) -# Loading dp_lib.mms_dp_fifo_to_mm(str) -# Loading dp_lib.dp_fifo_to_mm(str) -# Loading dp_lib.dp_fifo_to_mm_reg(rtl) -# Loading dp_lib.mms_dp_fifo_from_mm(str) -# Loading dp_lib.dp_fifo_from_mm(str) -# Loading dp_lib.dp_fifo_from_mm_reg(rtl) -# Loading ppsh_lib.mms_ppsh(str) -# Loading ppsh_lib.ppsh(rtl) -# Loading tech_iobuf_lib.tech_iobuf_component_pkg -# Loading common_lib.common_ddio_in(str) -# Loading tech_iobuf_lib.tech_iobuf_ddio_in(str) -# Loading altera_mf.altera_mf_components -# Loading ip_stratixiv_ddio_lib.ip_stratixiv_ddio_in(str) -# Loading altera_mf.altddio_in(behave) -# Loading common_lib.common_interval_monitor(rtl) -# Loading common_lib.common_pipeline_sl(str) -# Loading common_lib.common_stable_monitor(rtl) -# Loading ppsh_lib.ppsh_reg(rtl) -# Loading unb1_board_lib.mms_unb1_board_sens(str) -# Loading unb1_board_lib.unb1_board_sens_reg(rtl) -# Loading i2c_lib.i2c_smbus_pkg -# Loading unb1_board_lib.unb1_board_sens(str) -# Loading unb1_board_lib.unb1_board_sens_ctrl(rtl) -# Loading i2c_lib.i2c_smbus(rtl) -# Loading i2c_lib.i2c_byte(structural) -# Loading i2c_lib.i2c_bit(rtl) -# Loading common_lib.common_pulse_extend(rtl) -# Loading unb1_test_lib.mmm_unb1_test(str) -# Loading mm_lib.mm_file(str) -# Loading unb1_test_lib.ddr_stream(str) -# Loading io_ddr_lib.mms_io_ddr_diag(str) -# Loading io_ddr_lib.mms_io_ddr(str) -# Loading common_lib.common_mem_mux(rtl) -# Loading io_ddr_lib.io_ddr(str) -# Loading io_ddr_lib.io_ddr_cross_domain(str) -# Loading dp_lib.dp_latency_increase(rtl) -# Loading dp_lib.dp_flush(rtl) -# Loading io_ddr_lib.io_ddr_driver_flush_ctrl(str) -# Loading io_ddr_lib.io_ddr_driver(str) -# Loading tech_ddr_lib.tech_ddr_component_pkg(body) -# Loading tech_ddr_lib.tech_ddr(str) -# Loading tech_ddr_lib.tech_ddr_stratixiv(str) -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002 -# Loading sv_std.std -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset_sync -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_fr_cycle_shifter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_write_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads -# Loading altera_mf_ver.altddio_out -# Loading altera_mf_ver.ALTERA_DEVICE_FAMILIES -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.afi_mux_ddr3_ddrx -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# Loading altera_mf_ver.altsyncram -# Loading altera_mf_ver.ALTERA_MF_MEMORY_INITIALIZATION -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_reg_file -# Loading altera_mf_ver.altdpram -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_reg_file -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_phy_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_data_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_ddr3 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_generic -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_core -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_di_buffer_wrap -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_di_buffer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_write_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_data_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_dm_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_lfsr12 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_read_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_bitcheck -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_pattern_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_data_broadcast -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_jumplogic -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_mem_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_master_translator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_slave_translator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_slave_agent -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_burst_uncompressor -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_avalon_sc_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_master_agent -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_reset_controller -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux_001 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_mux_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_arbitrator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_arb_adder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_demux_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_mux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_irq_mapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_if_nextgen_ddr3_controller_core -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_controller_st_top -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_controller -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_input_if -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_cmd_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_tbp -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_arbiter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_burst_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_addr_cmd_wrap -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_rdwr_data_tmg -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_wdata_path -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_list -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_burst_tracking -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_dataid_manager -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_rdata_path -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_sideband -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_rank_timer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_timing_param -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_mm_st_converter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_oct_stratixiv -# Loading stratixiv_ver.stratixiv_termination -# Loading stratixiv_ver.stratixiv_termination_aux_clock_div -# Loading stratixiv_ver.stratixiv_rt_sm -# Loading stratixiv_ver.stratixiv_termination_logic -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_dll_stratixiv -# Loading stratixiv_ver.stratixiv_dll -# Loading altera_lnsim_ver.altera_lnsim_functions -# Loading altera_lnsim_ver.altera_pll -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_valid_selector -# Loading lpm_ver.lpm_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_flop_mem -# Loading lpm_ver.lpm_mux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_clock_pair_generator -# Loading stratixiv_ver.stratixiv_io_obuf -# Loading stratixiv_ver.stratixiv_pseudo_diff_out -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_siii_wrapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_siii_phase_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_lfsr36 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_inst_ROM_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_ac_ROM_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_datamux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_reset_synchronizer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_addr_cmd -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_odt_gen -# Loading altera_mf_ver.scfifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_buffer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ecc_encoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ecc_decoder -# Loading altera_lnsim_ver.altera_generic_pll_functions -# Loading altera_lnsim_ver.generic_pll -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altdq_dqs2_abstract -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ddr2_odt_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ddr3_odt_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altdq_dqs2_cal_delays -# Loading stratixiv_ver.stratixiv_io_config -# Loading stratixiv_ver.stratixiv_dqs_config -# Loading common_lib.common_reg_r_w_dc(str) -# Loading common_lib.common_reg_r_w(rtl) -# Loading io_ddr_lib.io_ddr_reg(rtl) -# Loading diag_lib.mms_diag_block_gen(rtl) -# Loading diag_lib.mms_diag_tx_seq(str) -# Loading diag_lib.diag_tx_seq(rtl) -# Loading diag_lib.mms_diag_data_buffer(str) -# Loading diag_lib.mms_diag_rx_seq(str) -# Loading diag_lib.diag_rx_seq(rtl) -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_0002 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_memphy -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset_sync -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_fr_cycle_shifter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_write_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_new_io_pads -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_pads -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.afi_mux_ddr3_ddrx -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.sequencer_scc_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.sequencer_scc_reg_file -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.sequencer_reg_file -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.sequencer_phy_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.sequencer_data_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_ddr3 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_generic -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_core -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_di_buffer_wrap -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_di_buffer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_write_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_data_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_dm_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_lfsr12 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_read_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_bitcheck -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_pattern_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_data_broadcast -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_jumplogic -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_mem_if_sequencer_mem_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_merlin_master_translator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_merlin_slave_translator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_merlin_slave_agent -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_merlin_burst_uncompressor -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_avalon_sc_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_merlin_master_agent -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_001 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_001_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_003_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_reset_controller -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux_001 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_mux_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_merlin_arbitrator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_merlin_arb_adder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_demux_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_mux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_irq_mapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_c0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_if_nextgen_ddr3_controller_core -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_controller_st_top -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_controller -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_input_if -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_cmd_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_tbp -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_arbiter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_burst_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_addr_cmd_wrap -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_rdwr_data_tmg -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_wdata_path -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_list -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_burst_tracking -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_dataid_manager -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_rdata_path -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_sideband -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_rank_timer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_timing_param -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_mm_st_converter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_valid_selector -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_flop_mem -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_clock_pair_generator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_altdqdqs -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.sequencer_scc_siii_wrapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.sequencer_scc_siii_phase_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_lfsr36 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_inst_ROM_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_ac_ROM_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.rw_manager_datamux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altera_reset_synchronizer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_addr_cmd -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_odt_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_buffer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_ecc_encoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_ecc_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altdq_dqs2_abstract -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_ddr2_odt_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.alt_mem_ddrx_ddr3_odt_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_lib.altdq_dqs2_cal_delays -# Loading i2c_lib.dev_max1618(beh) -# Loading i2c_lib.i2c_slv_device(beh) -# Loading i2c_lib.dev_ltc4260(beh) -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller.v(1830): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Fatal: (vsim-3808) Incompatible modes for port "afi_clk". -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# ** Fatal: (vsim-3808) Incompatible modes for port "afi_reset_n". -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# ** Fatal: (vsim-3808) Incompatible modes for port "pll_mem_clk". -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_controller.v(1830): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# Error loading design -# No wave window is currently open -lp ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -mk all -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# [mk make ip_stratixiv_ddr3_uphy_4g_single_rank_800_master] -# -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -lp unb1_test_ddr_MB_I_II -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project unb1_test_ddr_MB_I_II -# unb1_test_ddr_MB_I_II -# No wave window is currently open -lp ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -lp unb1_test_ddr_MB_I_II -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project unb1_test_ddr_MB_I_II -# unb1_test_ddr_MB_I_II -mk clean all -# technology ip_stratixiv_ram tech_memory ip_stratixiv_fifo tech_fifo ip_stratixiv_ddio tech_iobuf tst common mm easics dp diag uth ppsh i2c diagnostics ip_stratixiv_transceiver tech_transceiver tr_nonbonded ip_stratixiv_tse_sgmii_lvds ip_stratixiv_tse_sgmii_gx tech_tse eth numonyx_m25p128 ip_stratixiv_flash tech_flash remu ip_stratixiv_pll ip_stratixiv_pll_clk25 tech_pll epcs unb1_board ip_stratixiv_mac_10g tech_mac_10g tech_10gbase_r ip_stratixiv_phy_xaui tech_xaui tech_eth_10g mdio tr_xaui tr_10GbE ip_stratixiv_ddr3_uphy_4g_800_master ip_stratixiv_ddr3_uphy_4g_800_slave ip_stratixiv_ddr3_uphy_4g_single_rank_800_master ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave ip_stratixiv_ddr3_mem_model tech_ddr io_ddr reorder unb1_test unb1_test_ddr_MB_I_II -# [mk clean technology] -# [mk clean ip_stratixiv_ram] -# [mk clean tech_memory] -# [mk clean ip_stratixiv_fifo] -# [mk clean tech_fifo] -# [mk clean ip_stratixiv_ddio] -# [mk clean tech_iobuf] -# [mk clean tst] -# [mk clean common] -# [mk clean mm] -# [mk clean easics] -# [mk clean dp] -# [mk clean diag] -# [mk clean uth] -# [mk clean ppsh] -# [mk clean i2c] -# [mk clean diagnostics] -# [mk clean ip_stratixiv_transceiver] -# [mk clean tech_transceiver] -# [mk clean tr_nonbonded] -# [mk clean ip_stratixiv_tse_sgmii_lvds] -# [mk clean ip_stratixiv_tse_sgmii_gx] -# [mk clean tech_tse] -# [mk clean eth] -# [mk clean numonyx_m25p128] -# [mk clean ip_stratixiv_flash] -# [mk clean tech_flash] -# [mk clean remu] -# [mk clean ip_stratixiv_pll] -# [mk clean ip_stratixiv_pll_clk25] -# [mk clean tech_pll] -# [mk clean epcs] -# [mk clean unb1_board] -# [mk clean ip_stratixiv_mac_10g] -# [mk clean tech_mac_10g] -# [mk clean tech_10gbase_r] -# [mk clean ip_stratixiv_phy_xaui] -# [mk clean tech_xaui] -# [mk clean tech_eth_10g] -# [mk clean mdio] -# [mk clean tr_xaui] -# [mk clean tr_10GbE] -# [mk clean ip_stratixiv_ddr3_uphy_4g_800_master] -# [mk clean ip_stratixiv_ddr3_uphy_4g_800_slave] -# [mk clean ip_stratixiv_ddr3_uphy_4g_single_rank_800_master] -# [mk clean ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave] -# [mk clean ip_stratixiv_ddr3_mem_model] -# [mk clean tech_ddr] -# [mk clean io_ddr] -# [mk clean reorder] -# [mk clean unb1_test] -# [mk clean unb1_test_ddr_MB_I_II] -# unb1_test_ddr_MB_I_II -mk all -# technology ip_stratixiv_ram tech_memory ip_stratixiv_fifo tech_fifo ip_stratixiv_ddio tech_iobuf tst common mm easics dp diag uth ppsh i2c diagnostics ip_stratixiv_transceiver tech_transceiver tr_nonbonded ip_stratixiv_tse_sgmii_lvds ip_stratixiv_tse_sgmii_gx tech_tse eth numonyx_m25p128 ip_stratixiv_flash tech_flash remu ip_stratixiv_pll ip_stratixiv_pll_clk25 tech_pll epcs unb1_board ip_stratixiv_mac_10g tech_mac_10g tech_10gbase_r ip_stratixiv_phy_xaui tech_xaui tech_eth_10g mdio tr_xaui tr_10GbE ip_stratixiv_ddr3_uphy_4g_800_master ip_stratixiv_ddr3_uphy_4g_800_slave ip_stratixiv_ddr3_uphy_4g_single_rank_800_master ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave ip_stratixiv_ddr3_mem_model tech_ddr io_ddr reorder unb1_test unb1_test_ddr_MB_I_II -# [mk compile technology] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project technology -# Compile of technology_pkg.vhd was successful with warnings. -# Compile of technology_select_pkg.vhd was successful. -# 2 compiles, 0 failed with no errors. -# [mk vmake technology] -# -# [mk make technology] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Compiling package technology_pkg -# -- Compiling package body technology_pkg -# -- Loading package technology_pkg -# ** Warning: [14] /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/technology_pkg.vhd(123): (vcom-1272) Length of expected is 9; length of actual is 49. -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling package technology_select_pkg -# [mk compile ip_stratixiv_ram] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_ram -# Compile of ip_stratixiv_ram_crwk_crw.vhd was successful. -# Compile of ip_stratixiv_ram_crw_crw.vhd was successful. -# Compile of ip_stratixiv_ram_cr_cw.vhd was successful. -# Compile of ip_stratixiv_ram_r_w.vhd was successful. -# Compile of ip_stratixiv_rom_r.vhd was successful. -# 5 compiles, 0 failed with no errors. -# [mk vmake ip_stratixiv_ram] -# -# [mk make ip_stratixiv_ram] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_rom_r -# -- Compiling architecture syn of ip_stratixiv_rom_r -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_ram_r_w -# -- Compiling architecture syn of ip_stratixiv_ram_r_w -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_ram_crwk_crw -# -- Compiling architecture syn of ip_stratixiv_ram_crwk_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_ram_crw_crw -# -- Compiling architecture syn of ip_stratixiv_ram_crw_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_ram_cr_cw -# -- Compiling architecture syn of ip_stratixiv_ram_cr_cw -# [mk compile tech_memory] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_memory -# Compile of tech_memory_component_pkg.vhd was successful. -# Compile of tech_memory_ram_cr_cw.vhd was successful. -# Compile of tech_memory_ram_crw_crw.vhd was successful. -# Compile of tech_memory_ram_crwk_crw.vhd was successful. -# Compile of tech_memory_ram_r_w.vhd was successful. -# Compile of tech_memory_rom_r.vhd was successful. -# 6 compiles, 0 failed with no errors. -# [mk vmake tech_memory] -# -# [mk make tech_memory] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package tech_memory_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_memory_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_memory_rom_r -# -- Compiling architecture str of tech_memory_rom_r -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_memory_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_memory_ram_r_w -# -- Compiling architecture str of tech_memory_ram_r_w -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_memory_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_memory_ram_crwk_crw -# -- Compiling architecture str of tech_memory_ram_crwk_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_memory_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_memory_ram_crw_crw -# -- Compiling architecture str of tech_memory_ram_crw_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_memory_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_memory_ram_cr_cw -# -- Compiling architecture str of tech_memory_ram_cr_cw -# [mk compile ip_stratixiv_fifo] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_fifo -# Compile of ip_stratixiv_fifo_dc_mixed_widths.vhd was successful. -# Compile of ip_stratixiv_fifo_dc.vhd was successful. -# Compile of ip_stratixiv_fifo_sc.vhd was successful. -# 3 compiles, 0 failed with no errors. -# [mk vmake ip_stratixiv_fifo] -# -# [mk make ip_stratixiv_fifo] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_fifo_sc -# -- Compiling architecture syn of ip_stratixiv_fifo_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_fifo_dc_mixed_widths -# -- Compiling architecture syn of ip_stratixiv_fifo_dc_mixed_widths -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_fifo_dc -# -- Compiling architecture syn of ip_stratixiv_fifo_dc -# [mk compile tech_fifo] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_fifo -# Compile of tech_fifo_component_pkg.vhd was successful. -# Compile of tech_fifo_sc.vhd was successful. -# Compile of tech_fifo_dc.vhd was successful. -# Compile of tech_fifo_dc_mixed_widths.vhd was successful. -# 4 compiles, 0 failed with no errors. -# [mk vmake tech_fifo] -# -# [mk make tech_fifo] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling package tech_fifo_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package tech_fifo_component_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_fifo_sc -# -- Compiling architecture str of tech_fifo_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package tech_fifo_component_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_fifo_dc_mixed_widths -# -- Compiling architecture str of tech_fifo_dc_mixed_widths -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package tech_fifo_component_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_fifo_dc -# -- Compiling architecture str of tech_fifo_dc -# [mk compile ip_stratixiv_ddio] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_ddio -# Compile of ip_stratixiv_ddio_in.vhd was successful. -# Compile of ip_stratixiv_ddio_out.vhd was successful. -# 2 compiles, 0 failed with no errors. -# [mk vmake ip_stratixiv_ddio] -# -# [mk make ip_stratixiv_ddio] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Compiling entity ip_stratixiv_ddio_out -# -- Compiling architecture str of ip_stratixiv_ddio_out -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Compiling entity ip_stratixiv_ddio_in -# -- Compiling architecture str of ip_stratixiv_ddio_in -# [mk compile tech_iobuf] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_iobuf -# Compile of tech_iobuf_component_pkg.vhd was successful. -# Compile of tech_iobuf_ddio_in.vhd was successful. -# Compile of tech_iobuf_ddio_out.vhd was successful. -# 3 compiles, 0 failed with no errors. -# [mk vmake tech_iobuf] -# -# [mk make tech_iobuf] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package tech_iobuf_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_iobuf_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_iobuf_ddio_out -# -- Compiling architecture str of tech_iobuf_ddio_out -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_iobuf_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_iobuf_ddio_in -# -- Compiling architecture str of tech_iobuf_ddio_in -# [mk compile tst] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tst -# Compile of tst_output.vhd was successful. -# Compile of tst_input.vhd was successful with warnings. -# 2 compiles, 0 failed with no errors. -# [mk vmake tst] -# -# [mk make tst] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package textio -# -- Compiling entity tst_output -# -- Compiling architecture beh of tst_output -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package textio -# -- Compiling entity tst_input -# -- Compiling architecture beh of tst_input -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/tst/src/vhdl/tst_input.vhd(248): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/tst/src/vhdl/tst_input.vhd(249): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/tst/src/vhdl/tst_input.vhd(250): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/tst/src/vhdl/tst_input.vhd(251): Case choice must be a locally static expression. -# [mk compile common] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project common -# Compile of common_pkg.vhd was successful. -# Compile of common_str_pkg.vhd was successful. -# Compile of common_mem_pkg.vhd was successful. -# Compile of common_field_pkg.vhd was successful. -# Compile of common_lfsr_sequences_pkg.vhd was successful. -# Compile of common_interface_layers_pkg.vhd was successful. -# Compile of common_network_layers_pkg.vhd was successful. -# Compile of common_network_total_header_pkg.vhd was successful. -# Compile of common_components_pkg.vhd was successful. -# Compile of lut_add_sub.vhd was successful. -# Compile of dsp_add_sub.vhd was successful. -# Compile of dsp_mult_add2.vhd was successful. -# Compile of dsp_mult_add4.vhd was successful. -# Compile of dsp_complex_mult.vhd was successful. -# Compile of common_async.vhd was successful. -# Compile of common_async_slv.vhd was successful. -# Compile of common_areset.vhd was successful. -# Compile of common_acapture.vhd was successful. -# Compile of common_acapture_slv.vhd was successful. -# Compile of common_pipeline.vhd was successful. -# Compile of common_pipeline_sl.vhd was successful. -# Compile of common_pipeline_integer.vhd was successful. -# Compile of common_pipeline_natural.vhd was successful. -# Compile of common_ram_crw_crw_ratio.vhd was successful. -# Compile of common_ram_cr_cw_ratio.vhd was successful. -# Compile of common_ram_crw_crw.vhd was successful. -# Compile of common_ram_crw_cr.vhd was successful. -# Compile of common_ram_crw_cw.vhd was successful. -# Compile of common_ram_cr_cw.vhd was successful. -# Compile of common_ram_rw_rw.vhd was successful. -# Compile of common_ram_r_w.vhd was successful. -# Compile of common_rom.vhd was successful. -# Compile of common_fifo_sc.vhd was successful. -# Compile of common_fifo_dc.vhd was successful. -# Compile of common_fifo_dc_mixed_widths.vhd was successful. -# Compile of common_ddio_in.vhd was successful. -# Compile of common_ddio_out.vhd was successful. -# Compile of common_wideband_data_scope.vhd was successful. -# Compile of common_inout.vhd was successful. -# Compile of common_fanout.vhd was successful. -# Compile of common_fanout_tree.vhd was successful. -# Compile of common_ddreg.vhd was successful. -# Compile of common_ddreg_slv.vhd was successful. -# Compile of common_evt.vhd was successful. -# Compile of common_flank_to_pulse.vhd was successful. -# Compile of common_toggle.vhd was successful. -# Compile of common_switch.vhd was successful. -# Compile of common_request.vhd was successful. -# Compile of common_pulse_extend.vhd was successful. -# Compile of common_spulse.vhd was successful. -# Compile of common_counter.vhd was successful. -# Compile of common_init.vhd was successful. -# Compile of common_pulser.vhd was successful. -# Compile of common_led_controller.vhd was successful. -# Compile of common_pulser_us_ms_s.vhd was successful. -# Compile of common_debounce.vhd was successful. -# Compile of common_frame_busy.vhd was successful. -# Compile of common_stable_delayed.vhd was successful. -# Compile of common_stable_monitor.vhd was successful. -# Compile of common_interval_monitor.vhd was successful. -# Compile of common_clock_active_detector.vhd was successful. -# Compile of common_clock_phase_detector.vhd was successful. -# Compile of common_resize.vhd was successful. -# Compile of common_round.vhd was successful. -# Compile of common_requantize.vhd was successful. -# Compile of common_clip.vhd was successful. -# Compile of common_pipeline_symbol.vhd was successful. -# Compile of common_shiftreg.vhd was successful. -# Compile of common_shiftreg_symbol.vhd was successful. -# Compile of common_add_symbol.vhd was successful. -# Compile of common_select_symbol.vhd was successful. -# Compile of common_select_m_symbols.vhd was successful. -# Compile of common_reorder_symbol.vhd was successful. -# Compile of common_multiplexer.vhd was successful. -# Compile of common_demultiplexer.vhd was successful. -# Compile of common_transpose_symbol.vhd was successful. -# Compile of common_transpose.vhd was successful. -# Compile of common_complex_round.vhd was successful. -# Compile of common_add_sub.vhd was successful. -# Compile of common_add_sub_a_stratix4.vhd was successful. -# Compile of common_add_sub_a_rtl.vhd was successful. -# Compile of common_complex_add_sub.vhd was successful. -# Compile of common_accumulate.vhd was successful. -# Compile of common_int2float.vhd was successful. -# Compile of common_adder_staged.vhd was successful. -# Compile of common_adder_tree.vhd was successful. -# Compile of common_adder_tree_a_recursive.vhd was successful. -# Compile of common_adder_tree_a_str.vhd was successful. -# Compile of common_operation.vhd was successful. -# Compile of common_operation_tree.vhd was successful. -# Compile of common_mult.vhd was successful. -# Compile of common_mult_a_stratix4.vhd was successful. -# Compile of common_mult_a_rtl.vhd was successful. -# Compile of common_mult_add2.vhd was successful. -# Compile of common_mult_add2_a_stratix4.vhd was successful. -# Compile of common_mult_add2_a_rtl_stratix4.vhd was successful. -# Compile of common_mult_add2_a_rtl.vhd was successful. -# Compile of common_mult_add4.vhd was successful. -# Compile of common_mult_add4_a_stratix4.vhd was successful. -# Compile of common_mult_add4_a_rtl.vhd was successful. -# Compile of common_complex_mult.vhd was successful. -# Compile of common_complex_mult_a_stratix4.vhd was successful. -# Compile of common_complex_mult_a_str_stratix4.vhd was successful. -# Compile of common_complex_mult_add.vhd was successful. -# Compile of common_complex_mult_add_parallel.vhd was successful. -# Compile of common_complex_mult_add_pipeline.vhd was successful. -# Compile of common_rl_decrease.vhd was successful. -# Compile of common_rl_increase.vhd was successful. -# Compile of common_rl_register.vhd was successful. -# Compile of common_fifo_rd.vhd was successful. -# Compile of common_blockreg.vhd was successful. -# Compile of common_fifo_dc_lock_control.vhd was successful. -# Compile of common_mem_mux.vhd was successful. -# Compile of common_mem_demux.vhd was successful. -# Compile of common_reg_cross_domain.vhd was successful. -# Compile of common_reg_r_w.vhd was successful. -# Compile of common_reg_r_w_dc.vhd was successful. -# Compile of common_interleave.vhd was successful. -# Compile of common_deinterleave.vhd was successful. -# Compile of common_reinterleave.vhd was successful. -# Compile of common_paged_reg.vhd was successful. -# Compile of common_paged_ram_crw_crw.vhd was successful. -# Compile of common_paged_ram_rw_rw.vhd was successful. -# Compile of common_paged_ram_r_w.vhd was successful. -# Compile of common_paged_ram_ww_rr.vhd was successful. -# Compile of common_paged_ram_w_rr.vhd was successful. -# Compile of common_zip.vhd was successful. -# Compile of common_duty_cycle.vhd was successful. -# Compile of common_bit_delay.vhd was successful. -# Compile of common_delay.vhd was successful. -# Compile of common_shiftram.vhd was successful. -# Compile of mms_common_reg.vhd was successful. -# Compile of mms_common_stable_monitor.vhd was successful. -# Compile of avs_common_mm.vhd was successful. -# Compile of avs_common_mm_irq.vhd was successful. -# Compile of avs_common_mm_readlatency0.vhd was successful. -# Compile of avs_common_mm_readlatency2.vhd was successful. -# Compile of avs_common_reg_r_w.vhd was successful. -# Compile of common_top.vhd was successful. -# Compile of tb_common_pkg.vhd was successful. -# Compile of tb_common_mem_pkg.vhd was successful. -# Compile of tb_common_acapture.vhd was successful. -# Compile of tb_common_add_sub.vhd was successful. -# Compile of tb_common_adder_tree.vhd was successful. -# Compile of tb_common_async.vhd was successful. -# Compile of tb_common_clock_phase_detector.vhd was successful. -# Compile of tb_common_complex_mult.vhd was successful. -# Compile of tb_common_complex_mult_add_parallel.vhd was successful. -# Compile of tb_common_complex_mult_add_pipeline.vhd was successful. -# Compile of tb_common_counter.vhd was successful. -# Compile of tb_common_ddreg.vhd was successful. -# Compile of tb_common_debounce.vhd was successful. -# Compile of tb_common_duty_cycle.vhd was successful. -# Compile of tb_common_fanout_tree.vhd was successful. -# Compile of tb_common_fifo_dc_mixed_widths.vhd was successful. -# Compile of tb_common_fifo_rd.vhd was successful. -# Compile of tb_common_flank_to_pulse.vhd was successful. -# Compile of tb_common_init.vhd was successful. -# Compile of tb_common_int2float.vhd was successful. -# Compile of tb_common_led_controller.vhd was successful. -# Compile of tb_common_mem_mux.vhd was successful. -# Compile of tb_common_mult.vhd was successful. -# Compile of tb_common_mult_add2.vhd was successful. -# Compile of tb_common_multiplexer.vhd was successful. -# Compile of tb_common_operation_tree.vhd was successful. -# Compile of tb_common_paged_ram_crw_crw.vhd was successful. -# Compile of tb_common_paged_ram_ww_rr.vhd was successful. -# Compile of tb_common_pulse_extend.vhd was successful. -# Compile of tb_common_pulser.vhd was successful. -# Compile of tb_common_pulser_us_ms_s.vhd was successful. -# Compile of tb_common_reg_cross_domain.vhd was successful. -# Compile of tb_common_reinterleave.vhd was successful. -# Compile of tb_common_reorder_symbol.vhd was successful. -# Compile of tb_common_rl.vhd was successful. -# Compile of tb_common_rl_register.vhd was successful. -# Compile of tb_common_select_m_symbols.vhd was successful. -# Compile of tb_common_shiftram.vhd was successful. -# Compile of tb_common_shiftreg.vhd was successful. -# Compile of tb_common_spulse.vhd was successful. -# Compile of tb_common_switch.vhd was successful. -# Compile of tb_common_toggle.vhd was successful. -# Compile of tb_common_transpose.vhd was successful. -# Compile of tb_common_transpose_symbol.vhd was successful. -# Compile of tb_common_zip.vhd was successful. -# Compile of tb_requantize.vhd was successful. -# Compile of tb_resize.vhd was successful. -# Compile of tb_round.vhd was successful. -# Compile of tb_tb_common_add_sub.vhd was successful. -# Compile of tb_tb_common_adder_tree.vhd was successful. -# Compile of tb_tb_common_fanout_tree.vhd was successful. -# Compile of tb_tb_common_mult.vhd was successful. -# Compile of tb_tb_common_multiplexer.vhd was successful. -# Compile of tb_tb_common_operation_tree.vhd was successful. -# Compile of tb_tb_common_paged_ram_ww_rr.vhd was successful. -# Compile of tb_tb_common_reinterleave.vhd was successful. -# Compile of tb_tb_common_reorder_symbol.vhd was successful. -# Compile of tb_tb_common_rl.vhd was successful. -# Compile of tb_tb_common_rl_register.vhd was successful. -# Compile of tb_tb_common_transpose.vhd was successful. -# 199 compiles, 0 failed with no errors. -# [mk vmake common] -# -# [mk make common] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Compiling package common_pkg -# -- Compiling package body common_pkg -# -- Loading package common_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package tb_common_pkg -# -- Compiling package body tb_common_pkg -# -- Loading package tb_common_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package common_mem_pkg -# -- Compiling package body common_mem_pkg -# -- Loading package common_mem_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling package common_components_pkg -# -- Compiling package body common_components_pkg -# -- Loading package common_components_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Compiling entity common_select_symbol -# -- Compiling architecture rtl of common_select_symbol -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_pipeline -# -- Compiling architecture rtl of common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_switch -# -- Compiling architecture rtl of common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_shiftreg -# -- Compiling architecture str of common_shiftreg -# -- Loading entity common_switch -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_shiftreg_symbol -# -- Compiling architecture str of common_shiftreg_symbol -# -- Loading entity common_shiftreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_pipeline_sl -# -- Compiling architecture str of common_pipeline_sl -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_add_symbol -# -- Compiling architecture str of common_add_symbol -# -- Loading entity common_pipeline -# -- Loading entity common_pipeline_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_transpose_symbol -# -- Compiling architecture rtl of common_transpose_symbol -# -- Loading entity common_pipeline -# -- Loading entity common_pipeline_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_transpose -# -- Compiling architecture str of common_transpose -# -- Loading entity common_shiftreg -# -- Loading entity common_transpose_symbol -# -- Loading entity common_add_symbol -# -- Loading entity common_pipeline_sl -# -- Loading entity common_shiftreg_symbol -# -- Loading entity common_pipeline -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Loading entity common_select_symbol -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_transpose -# -- Compiling architecture tb of tb_common_transpose -# -- Loading entity common_transpose -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_common_transpose -# -- Compiling architecture tb of tb_tb_common_transpose -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading entity tb_common_transpose -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package common_lfsr_sequences_pkg -# -- Compiling package body common_lfsr_sequences_pkg -# -- Loading package common_lfsr_sequences_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_rl_increase -# -- Compiling architecture rtl of common_rl_increase -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_rl_decrease -# -- Compiling architecture rtl of common_rl_decrease -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_rl_register -# -- Compiling architecture str of common_rl_register -# -- Loading entity common_rl_decrease -# -- Loading entity common_rl_increase -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_async -# -- Compiling architecture rtl of common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_areset -# -- Compiling architecture str of common_areset -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_fifo_sc -# -- Compiling architecture str of common_fifo_sc -# -- Loading entity common_areset -# -- Loading package tech_fifo_component_pkg -# -- Loading entity tech_fifo_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_rl_register -# -- Compiling architecture tb of tb_common_rl_register -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_fifo_sc -# -- Loading entity common_rl_register -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_tb_common_rl_register -# -- Compiling architecture tb of tb_tb_common_rl_register -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading entity tb_common_rl_register -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_rl -# -- Compiling architecture tb of tb_common_rl -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_fifo_sc -# -- Loading entity common_rl_decrease -# -- Loading entity common_rl_increase -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_tb_common_rl -# -- Compiling architecture tb of tb_tb_common_rl -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading entity tb_common_rl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_pipeline_natural -# -- Compiling architecture str of common_pipeline_natural -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_reorder_symbol -# -- Compiling architecture rtl of common_reorder_symbol -# -- Loading entity common_pipeline -# -- Loading entity common_pipeline_natural -# -- Loading entity common_pipeline_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_reorder_symbol -# -- Compiling architecture tb of tb_common_reorder_symbol -# -- Loading entity common_reorder_symbol -# -- Loading entity common_pipeline -# -- Loading entity common_pipeline_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_common_reorder_symbol -# -- Compiling architecture tb of tb_tb_common_reorder_symbol -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading entity tb_common_reorder_symbol -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_tb_common_reinterleave -# -- Compiling architecture rtl of tb_tb_common_reinterleave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ram_crw_crw -# -- Compiling architecture str of common_ram_crw_crw -# -- Loading package tech_memory_component_pkg -# -- Loading entity tech_memory_ram_crw_crw -# -- Loading entity tech_memory_ram_cr_cw -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ram_rw_rw -# -- Compiling architecture str of common_ram_rw_rw -# -- Loading entity common_ram_crw_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Compiling entity common_paged_ram_ww_rr -# -- Compiling architecture rtl of common_paged_ram_ww_rr -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_rw_rw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_paged_ram_w_rr -# -- Compiling architecture str of common_paged_ram_w_rr -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Loading entity common_paged_ram_ww_rr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_paged_ram_ww_rr -# -- Compiling architecture tb of tb_common_paged_ram_ww_rr -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Loading entity common_paged_ram_ww_rr -# -- Loading entity common_paged_ram_w_rr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_tb_common_paged_ram_ww_rr -# -- Compiling architecture tb of tb_tb_common_paged_ram_ww_rr -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading entity tb_common_paged_ram_ww_rr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_operation -# -- Compiling architecture rtl of common_operation -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_operation_tree -# -- Compiling architecture str of common_operation_tree -# -- Loading entity common_operation -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_operation_tree -# -- Compiling architecture tb of tb_common_operation_tree -# -- Loading entity common_pipeline -# -- Loading entity common_pipeline_sl -# -- Loading entity common_operation_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_common_operation_tree -# -- Compiling architecture tb of tb_tb_common_operation_tree -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading entity tb_common_operation_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_multiplexer -# -- Compiling architecture str of common_multiplexer -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Loading entity common_select_symbol -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Compiling entity common_demultiplexer -# -- Compiling architecture rtl of common_demultiplexer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_multiplexer -# -- Compiling architecture tb of tb_common_multiplexer -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Loading entity common_demultiplexer -# -- Loading entity common_pipeline -# -- Loading entity common_multiplexer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_tb_common_multiplexer -# -- Compiling architecture tb of tb_tb_common_multiplexer -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading entity tb_common_multiplexer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_mult -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_mult -# -- Compiling architecture tb of tb_common_mult -# -- Loading entity common_pipeline -# -- Loading entity common_mult -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_common_mult -# -- Compiling architecture tb of tb_tb_common_mult -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading entity tb_common_mult -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_fanout -# -- Compiling architecture str of common_fanout -# -- Loading entity common_pipeline_sl -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_fanout_tree -# -- Compiling architecture str of common_fanout_tree -# -- Loading entity common_fanout -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_fanout_tree -# -- Compiling architecture tb of tb_common_fanout_tree -# -- Loading entity common_fanout_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_tb_common_fanout_tree -# -- Compiling architecture tb of tb_tb_common_fanout_tree -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading entity tb_common_fanout_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_adder_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_adder_tree -# -- Compiling architecture tb of tb_common_adder_tree -# -- Loading entity common_pipeline -# -- Loading entity common_adder_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_tb_common_adder_tree -# -- Compiling architecture tb of tb_tb_common_adder_tree -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading entity tb_common_adder_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_add_sub -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_add_sub -# -- Compiling architecture tb of tb_common_add_sub -# -- Loading entity common_pipeline -# -- Loading entity common_add_sub -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_common_add_sub -# -- Compiling architecture tb of tb_tb_common_add_sub -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading entity tb_common_add_sub -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_round -# -- Compiling architecture rtl of common_round -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_round -# -- Compiling architecture tb of tb_round -# -- Loading entity common_pipeline -# -- Loading entity common_round -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_resize -# -- Compiling architecture rtl of common_resize -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_resize -# -- Compiling architecture tb of tb_resize -# -- Loading entity common_pipeline -# -- Loading entity common_resize -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_requantize -# -- Compiling architecture str of common_requantize -# -- Loading entity common_round -# -- Loading entity common_resize -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_requantize -# -- Compiling architecture tb of tb_requantize -# -- Loading entity common_pipeline -# -- Loading entity common_requantize -# -- Loading package textio -# -- Loading entity tst_output -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_zip -# -- Compiling architecture rtl of common_zip -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_zip -# -- Compiling architecture tb of tb_common_zip -# -- Loading entity common_zip -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_transpose_symbol -# -- Compiling architecture tb of tb_common_transpose_symbol -# -- Loading entity common_transpose_symbol -# -- Loading entity common_pipeline -# -- Loading entity common_pipeline_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_evt -# -- Compiling architecture rtl of common_evt -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_toggle -# -- Compiling architecture rtl of common_toggle -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading entity common_evt -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_toggle -# -- Compiling architecture tb of tb_common_toggle -# -- Loading entity common_toggle -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_switch -# -- Compiling architecture tb of tb_common_switch -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_spulse -# -- Compiling architecture rtl of common_spulse -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_spulse -# -- Compiling architecture tb of tb_common_spulse -# -- Loading entity common_areset -# -- Loading entity common_spulse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_shiftreg -# -- Compiling architecture tb of tb_common_shiftreg -# -- Loading entity common_shiftreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ram_r_w -# -- Compiling architecture str of common_ram_r_w -# -- Loading entity common_ram_rw_rw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity common_shiftram -# -- Compiling architecture rtl of common_shiftram -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_r_w -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_shiftram -# -- Compiling architecture tb of tb_common_shiftram -# -- Loading package common_mem_pkg -# -- Loading entity common_shiftram -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Compiling entity common_select_m_symbols -# -- Compiling architecture str of common_select_m_symbols -# -- Loading entity common_select_symbol -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_select_m_symbols -# -- Compiling architecture tb of tb_common_select_m_symbols -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Loading entity common_select_m_symbols -# -- Loading entity common_pipeline -# -- Loading entity common_pipeline_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_blockreg -# -- Compiling architecture str of common_blockreg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_fifo_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_interleave -# -- Compiling architecture rtl of common_interleave -# -- Loading entity common_blockreg -# -- Loading entity common_pipeline -# -- Loading entity common_multiplexer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_deinterleave -# -- Compiling architecture rtl of common_deinterleave -# -- Loading package common_mem_pkg -# -- Loading package common_components_pkg -# -- Loading entity common_demultiplexer -# -- Loading entity common_shiftreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_reinterleave -# -- Compiling architecture rtl of common_reinterleave -# -- Loading entity common_deinterleave -# -- Loading entity common_interleave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_reinterleave -# -- Compiling architecture rtl of tb_common_reinterleave -# -- Loading entity common_reinterleave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity common_reg_cross_domain -# -- Compiling architecture rtl of common_reg_cross_domain -# -- Loading entity common_spulse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_reg_cross_domain -# -- Compiling architecture tb of tb_common_reg_cross_domain -# -- Loading entity common_areset -# -- Loading package common_mem_pkg -# -- Loading entity common_reg_cross_domain -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_counter -# -- Compiling architecture rtl of common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_pulser -# -- Compiling architecture rtl of common_pulser -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_pulser_us_ms_s -# -- Compiling architecture str of common_pulser_us_ms_s -# -- Loading entity common_pulser -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_pulser_us_ms_s -# -- Compiling architecture tb of tb_common_pulser_us_ms_s -# -- Loading entity common_pulser_us_ms_s -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_pulser -# -- Compiling architecture tb of tb_common_pulser -# -- Loading entity common_areset -# -- Loading entity common_pulser -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity common_pulse_extend -# -- Compiling architecture rtl of common_pulse_extend -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_pulse_extend -# -- Compiling architecture tb of tb_common_pulse_extend -# -- Loading entity common_pulse_extend -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity common_paged_ram_crw_crw -# -- Compiling architecture rtl of common_paged_ram_crw_crw -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_crw_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_paged_ram_crw_crw -# -- Compiling architecture tb of tb_common_paged_ram_crw_crw -# -- Loading package common_mem_pkg -# -- Loading entity common_paged_ram_crw_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_mult_add2 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_mult_add2 -# -- Compiling architecture tb of tb_common_mult_add2 -# -- Loading entity common_pipeline -# -- Loading entity common_mult_add2 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling package tb_common_mem_pkg -# -- Compiling package body tb_common_mem_pkg -# -- Loading package tb_common_mem_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity common_mem_mux -# -- Compiling architecture rtl of common_mem_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Compiling entity tb_common_mem_mux -# -- Compiling architecture tb of tb_common_mem_mux -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_r_w -# -- Loading entity common_mem_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_led_controller -# -- Compiling architecture rtl of common_led_controller -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_led_controller -# -- Compiling architecture tb of tb_common_led_controller -# -- Loading entity common_pulser_us_ms_s -# -- Loading entity common_toggle -# -- Loading entity common_led_controller -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_int2float -# -- Compiling architecture rtl of common_int2float -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_int2float -# -- Compiling architecture tb of tb_common_int2float -# -- Loading entity common_int2float -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_init -# -- Compiling architecture rtl of common_init -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_init -# -- Compiling architecture tb of tb_common_init -# -- Loading entity common_areset -# -- Loading entity common_init -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_flank_to_pulse -# -- Compiling architecture str of common_flank_to_pulse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_flank_to_pulse -# -- Compiling architecture tb of tb_common_flank_to_pulse -# -- Loading entity common_flank_to_pulse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_fifo_rd -# -- Compiling architecture wrap of common_fifo_rd -# -- Loading entity common_rl_decrease -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_fifo_rd -# -- Compiling architecture tb of tb_common_fifo_rd -# -- Loading entity common_fifo_rd -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_fifo_dc_mixed_widths -# -- Compiling architecture str of common_fifo_dc_mixed_widths -# -- Loading entity common_areset -# -- Loading package tech_fifo_component_pkg -# -- Loading entity tech_fifo_dc_mixed_widths -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_fifo_dc_mixed_widths -# -- Compiling architecture tb of tb_common_fifo_dc_mixed_widths -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_fifo_dc_mixed_widths -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_duty_cycle -# -- Compiling architecture rtl of common_duty_cycle -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_duty_cycle -# -- Compiling architecture tb of tb_common_duty_cycle -# -- Loading entity common_duty_cycle -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_debounce -# -- Compiling architecture rtl of common_debounce -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_debounce -# -- Compiling architecture tb of tb_common_debounce -# -- Loading entity common_debounce -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_ddreg_r -# -- Compiling architecture str of common_ddreg_r -# -- Loading entity common_async -# -- Compiling entity common_ddreg_f -# -- Compiling architecture str of common_ddreg_f -# -- Compiling entity common_ddreg_fr -# -- Compiling architecture str of common_ddreg_fr -# -- Compiling entity common_ddreg -# -- Compiling architecture str of common_ddreg -# -- Loading entity common_ddreg_r -# -- Loading entity common_ddreg_f -# -- Loading entity common_ddreg_fr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_ddreg_slv -# -- Compiling architecture str of common_ddreg_slv -# -- Loading entity common_ddreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_ddreg_slv -# -- Compiling architecture tb of tb_common_ddreg_slv -# -- Loading entity common_ddreg_slv -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_counter -# -- Compiling architecture tb of tb_common_counter -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_complex_mult -# -- Compiling architecture str of common_complex_mult -# -- Loading entity common_mult_add2 -# -- Loading entity common_pipeline_sl -# -- Compiling architecture rtl of common_complex_mult -# -- Loading entity common_complex_mult -# -- Loading entity common_pipeline -# -- Compiling architecture rtl_dsp of common_complex_mult -# -- Loading entity common_complex_mult -# -- Compiling architecture altera_rtl of common_complex_mult -# -- Loading entity common_complex_mult -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_complex_mult_add -# -- Compiling architecture str of common_complex_mult_add -# -- Loading entity common_complex_mult -# -- Loading entity common_add_sub -# -- Compiling architecture rtl of common_complex_mult_add -# -- Loading entity common_complex_mult_add -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_complex_mult_add_pipeline -# -- Compiling architecture rtl of common_complex_mult_add_pipeline -# -- Loading entity common_complex_mult_add -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_complex_mult_add_pipeline -# -- Compiling architecture tb of tb_common_complex_mult_add_pipeline -# -- Loading entity common_complex_mult_add_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_complex_mult_add_parallel -# -- Compiling architecture str of common_complex_mult_add_parallel -# -- Loading entity common_complex_mult -# -- Loading entity common_adder_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_common_complex_mult_add_parallel -# -- Compiling architecture tb of tb_common_complex_mult_add_parallel -# -- Loading entity common_pipeline -# -- Loading entity common_complex_mult_add_parallel -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_complex_mult -# -- Compiling architecture tb of tb_common_complex_mult -# -- Loading entity common_pipeline -# -- Loading entity common_pipeline_sl -# -- Loading entity common_complex_mult -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_clock_phase_detector -# -- Compiling architecture str of common_clock_phase_detector -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_clock_phase_detector -# -- Compiling architecture tb of tb_common_clock_phase_detector -# -- Loading entity common_clock_phase_detector -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_async -# -- Compiling architecture tb of tb_common_async -# -- Loading entity common_async -# -- Loading entity common_areset -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_acapture -# -- Compiling architecture str of common_acapture -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_common_acapture -# -- Compiling architecture tb of tb_common_acapture -# -- Loading entity common_acapture -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_stable_monitor -# -- Compiling architecture rtl of common_stable_monitor -# -- Loading entity common_evt -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity common_reg_r_w -# -- Compiling architecture rtl of common_reg_r_w -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity common_reg_r_w_dc -# -- Compiling architecture str of common_reg_r_w_dc -# -- Loading entity common_reg_r_w -# -- Loading entity common_reg_cross_domain -# -- Loading entity common_spulse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity mms_common_stable_monitor -# -- Compiling architecture str of mms_common_stable_monitor -# -- Loading entity common_reg_r_w_dc -# -- Loading entity common_stable_monitor -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity mms_common_reg -# -- Compiling architecture str of mms_common_reg -# -- Loading entity common_reg_r_w_dc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity lut_add_sub -# -- Compiling architecture syn of lut_add_sub -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity dsp_mult_add4 -# -- Compiling architecture syn of dsp_mult_add4 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity dsp_mult_add2 -# -- Compiling architecture syn of dsp_mult_add2 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity dsp_complex_mult_altmult_complex_0vp -# -- Compiling architecture rtl of dsp_complex_mult_altmult_complex_0vp -# -- Compiling entity dsp_complex_mult -# -- Compiling architecture rtl of dsp_complex_mult -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity dsp_add_sub -# -- Compiling architecture syn of dsp_add_sub -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_wideband_data_scope -# -- Compiling architecture beh of common_wideband_data_scope -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_mult_add4 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_top -# -- Compiling architecture str of common_top -# -- Loading entity common_pipeline -# -- Loading entity common_mult_add2 -# -- Loading entity common_mult_add4 -# -- Loading entity common_complex_mult -# -- Loading entity common_mult -# -- Loading entity common_add_sub -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_fifo_sc -# -- Loading entity common_acapture -# -- Loading entity common_ddreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package common_str_pkg -# -- Compiling package body common_str_pkg -# -- Loading package common_str_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_stable_delayed -# -- Compiling architecture rtl of common_stable_delayed -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_rom -# -- Compiling architecture str of common_rom -# -- Loading entity common_ram_r_w -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_request -# -- Compiling architecture rtl of common_request -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ram_crw_cw -# -- Compiling architecture str of common_ram_crw_cw -# -- Loading entity common_ram_crw_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ram_crw_crw_ratio -# -- Compiling architecture str of common_ram_crw_crw_ratio -# -- Loading package tech_memory_component_pkg -# -- Loading entity tech_memory_ram_crwk_crw -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ram_crw_cr -# -- Compiling architecture str of common_ram_crw_cr -# -- Loading entity common_ram_crw_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ram_cr_cw_ratio -# -- Compiling architecture str of common_ram_cr_cw_ratio -# -- Loading entity common_ram_crw_crw_ratio -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ram_cr_cw -# -- Compiling architecture str of common_ram_cr_cw -# -- Loading entity common_ram_crw_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_pipeline_symbol -# -- Compiling architecture str of common_pipeline_symbol -# -- Loading entity common_pipeline -# -- Loading entity common_pipeline_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_pipeline_integer -# -- Compiling architecture str of common_pipeline_integer -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_paged_reg -# -- Compiling architecture str of common_paged_reg -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_paged_ram_rw_rw -# -- Compiling architecture str of common_paged_ram_rw_rw -# -- Loading package common_mem_pkg -# -- Loading entity common_paged_ram_crw_crw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_paged_ram_r_w -# -- Compiling architecture str of common_paged_ram_r_w -# -- Loading entity common_paged_ram_rw_rw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package common_network_layers_pkg -# -- Compiling package body common_network_layers_pkg -# -- Loading package common_network_layers_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Compiling package common_network_total_header_pkg -# -- Compiling package body common_network_total_header_pkg -# -- Loading package common_network_total_header_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture stratix4 of common_mult_add4 -# -- Loading entity common_mult_add4 -# -- Loading entity dsp_mult_add4 -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture rtl of common_mult_add4 -# -- Loading entity common_mult_add4 -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture stratix4 of common_mult_add2 -# -- Loading entity common_mult_add2 -# -- Loading entity dsp_mult_add2 -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture rtl_stratix4 of common_mult_add2 -# -- Loading entity common_mult_add2 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture rtl of common_mult_add2 -# -- Loading entity common_mult_add2 -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package lpm_components -# -- Compiling architecture stratix4 of common_mult -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading entity common_mult -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture rtl of common_mult -# -- Loading entity common_mult -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity common_mem_demux -# -- Compiling architecture rtl of common_mem_demux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_interval_monitor -# -- Compiling architecture rtl of common_interval_monitor -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package common_interface_layers_pkg -# -- Compiling package body common_interface_layers_pkg -# -- Loading package common_interface_layers_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_inout -# -- Compiling architecture rtl of common_inout -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_frame_busy -# -- Compiling architecture str of common_frame_busy -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_fifo_dc_lock_control -# -- Compiling architecture rtl of common_fifo_dc_lock_control -# -- Loading entity common_counter -# -- Loading entity common_stable_monitor -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_fifo_dc -# -- Compiling architecture str of common_fifo_dc -# -- Loading entity common_areset -# -- Loading package tech_fifo_component_pkg -# -- Loading entity tech_fifo_dc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Compiling package common_field_pkg -# -- Compiling package body common_field_pkg -# -- Loading package common_field_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_delay -# -- Compiling architecture rtl of common_delay -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ddio_out -# -- Compiling architecture str of common_ddio_out -# -- Loading package tech_iobuf_component_pkg -# -- Loading entity tech_iobuf_ddio_out -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity common_ddio_in -# -- Compiling architecture str of common_ddio_in -# -- Loading package tech_iobuf_component_pkg -# -- Loading entity tech_iobuf_ddio_in -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_complex_round -# -- Compiling architecture str of common_complex_round -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading entity common_round -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture stratix4 of common_complex_mult -# -- Loading entity common_complex_mult -# -- Loading entity common_pipeline_sl -# -- Loading entity dsp_complex_mult -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture str_stratix4 of common_complex_mult -# -- Loading entity common_complex_mult -# -- Loading entity common_mult_add2 -# -- Loading entity common_pipeline_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_complex_add_sub -# -- Compiling architecture str of common_complex_add_sub -# -- Loading entity common_add_sub -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_clock_active_detector -# -- Compiling architecture str of common_clock_active_detector -# -- Loading entity common_counter -# -- Loading entity common_async -# -- Loading entity common_evt -# -- Loading entity common_stable_monitor -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_clip -# -- Compiling architecture rtl of common_clip -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity common_bit_delay -# -- Compiling architecture rtl of common_bit_delay -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_async_slv -# -- Compiling architecture str of common_async_slv -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture recursive of common_adder_tree -# -- Loading entity common_adder_tree -# -- Loading entity common_pipeline -# -- Loading entity common_add_sub -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture str of common_adder_tree -# -- Loading entity common_adder_tree -# -- Loading entity common_add_sub -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_adder_staged -# -- Compiling architecture str of common_adder_staged -# -- Loading entity common_pipeline -# -- Loading entity common_add_sub -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture stratix4 of common_add_sub -# -- Loading entity common_add_sub -# -- Loading entity lut_add_sub -# -- Loading entity dsp_add_sub -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling architecture rtl of common_add_sub -# -- Loading entity common_add_sub -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_accumulate -# -- Compiling architecture rtl of common_accumulate -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity common_acapture_slv -# -- Compiling architecture str of common_acapture_slv -# -- Loading entity common_acapture -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity avs_common_reg_r_w -# -- Compiling architecture wrap of avs_common_reg_r_w -# -- Loading entity common_reg_r_w -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity avs_common_mm_readlatency2 -# -- Compiling architecture wrap of avs_common_mm_readlatency2 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity avs_common_mm_readlatency0 -# -- Compiling architecture wrap of avs_common_mm_readlatency0 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity avs_common_mm_irq -# -- Compiling architecture wrap of avs_common_mm_irq -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity avs_common_mm -# -- Compiling architecture wrap of avs_common_mm -# [mk compile mm] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project mm -# Compile of mm_fields.vhd was successful. -# Compile of mm_file_pkg.vhd was successful. -# Compile of mm_file_unb_pkg.vhd was successful. -# Compile of mm_file.vhd was successful. -# Compile of dummy_reg.vhd was successful. -# Compile of tb_mm_file.vhd was successful. -# 6 compiles, 0 failed with no errors. -# [mk vmake mm] -# -# [mk make mm] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity dummy_reg -# -- Compiling architecture rtl of dummy_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_str_pkg -# -- Compiling package mm_file_pkg -# -- Compiling package body mm_file_pkg -# -- Loading package mm_file_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_str_pkg -# -- Loading package mm_file_pkg -# -- Compiling entity mm_file -# -- Compiling architecture str of mm_file -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity tb_mm_file -# -- Compiling architecture tb of tb_mm_file -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_str_pkg -# -- Loading package mm_file_pkg -# -- Loading entity mm_file -# -- Loading entity dummy_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Compiling package mm_file_unb_pkg -# -- Compiling package body mm_file_unb_pkg -# -- Loading package mm_file_unb_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Compiling entity mm_fields -# -- Compiling architecture str of mm_fields -# -- Loading entity common_reg_r_w_dc -# [mk compile easics] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project easics -# Compile of PCK_CRC64_D8.vhd was successful. -# Compile of PCK_CRC64_D16.vhd was successful. -# Compile of PCK_CRC64_D32.vhd was successful. -# Compile of PCK_CRC64_D64.vhd was successful. -# Compile of PCK_CRC64_D72.vhd was successful. -# Compile of PCK_CRC64_D128.vhd was successful. -# Compile of PCK_CRC64_D256.vhd was successful. -# Compile of PCK_CRC64_D512.vhd was successful. -# Compile of PCK_CRC64_D1024.vhd was successful. -# Compile of PCK_CRC32_D4.vhd was successful. -# Compile of PCK_CRC32_D8.vhd was successful. -# Compile of PCK_CRC32_D9.vhd was successful. -# Compile of PCK_CRC32_D10.vhd was successful. -# Compile of PCK_CRC32_D16.vhd was successful. -# Compile of PCK_CRC32_D18.vhd was successful. -# Compile of PCK_CRC32_D20.vhd was successful. -# Compile of PCK_CRC32_D24.vhd was successful. -# Compile of PCK_CRC32_D32.vhd was successful. -# Compile of PCK_CRC32_D36.vhd was successful. -# Compile of PCK_CRC32_D40.vhd was successful. -# Compile of PCK_CRC32_D48.vhd was successful. -# Compile of PCK_CRC32_D64.vhd was successful. -# Compile of PCK_CRC32_D72.vhd was successful. -# Compile of PCK_CRC32_D128.vhd was successful. -# Compile of PCK_CRC32_D256.vhd was successful. -# Compile of PCK_CRC32_D512.vhd was successful. -# Compile of PCK_CRC32_D1024.vhd was successful. -# Compile of PCK_CRC16_D4.vhd was successful. -# Compile of PCK_CRC16_D8.vhd was successful. -# Compile of PCK_CRC16_D9.vhd was successful. -# Compile of PCK_CRC16_D10.vhd was successful. -# Compile of PCK_CRC16_D16.vhd was successful. -# Compile of PCK_CRC16_D18.vhd was successful. -# Compile of PCK_CRC16_D20.vhd was successful. -# Compile of PCK_CRC16_D24.vhd was successful. -# Compile of PCK_CRC16_D32.vhd was successful. -# Compile of PCK_CRC16_D36.vhd was successful. -# Compile of PCK_CRC16_D48.vhd was successful. -# Compile of PCK_CRC16_D64.vhd was successful. -# Compile of PCK_CRC16_D72.vhd was successful. -# Compile of PCK_CRC8_D4.vhd was successful. -# Compile of PCK_CRC8_D8.vhd was successful. -# Compile of PCK_CRC8_D9.vhd was successful. -# Compile of PCK_CRC8_D10.vhd was successful. -# Compile of PCK_CRC8_D16.vhd was successful. -# Compile of PCK_CRC8_D18.vhd was successful. -# Compile of PCK_CRC8_D20.vhd was successful. -# Compile of PCK_CRC8_D24.vhd was successful. -# Compile of PCK_CRC8_D32.vhd was successful. -# Compile of PCK_CRC8_D36.vhd was successful. -# Compile of PCK_CRC8_D48.vhd was successful. -# Compile of PCK_CRC8_D64.vhd was successful. -# Compile of PCK_CRC8_D72.vhd was successful. -# Compile of RAD_CRC20_D20.vhd was successful. -# Compile of RAD_CRC16_D16.vhd was successful. -# Compile of RAD_CRC18_D18.vhd was successful. -# 56 compiles, 0 failed with no errors. -# [mk vmake easics] -# -# [mk make easics] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package rad_crc20_d20 -# -- Compiling package body rad_crc20_d20 -# -- Loading package rad_crc20_d20 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package rad_crc18_d18 -# -- Compiling package body rad_crc18_d18 -# -- Loading package rad_crc18_d18 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package rad_crc16_d16 -# -- Compiling package body rad_crc16_d16 -# -- Loading package rad_crc16_d16 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d9 -# -- Compiling package body pck_crc8_d9 -# -- Loading package pck_crc8_d9 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d8 -# -- Compiling package body pck_crc8_d8 -# -- Loading package pck_crc8_d8 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d72 -# -- Compiling package body pck_crc8_d72 -# -- Loading package pck_crc8_d72 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d64 -# -- Compiling package body pck_crc8_d64 -# -- Loading package pck_crc8_d64 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d48 -# -- Compiling package body pck_crc8_d48 -# -- Loading package pck_crc8_d48 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d4 -# -- Compiling package body pck_crc8_d4 -# -- Loading package pck_crc8_d4 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d36 -# -- Compiling package body pck_crc8_d36 -# -- Loading package pck_crc8_d36 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d32 -# -- Compiling package body pck_crc8_d32 -# -- Loading package pck_crc8_d32 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d24 -# -- Compiling package body pck_crc8_d24 -# -- Loading package pck_crc8_d24 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d20 -# -- Compiling package body pck_crc8_d20 -# -- Loading package pck_crc8_d20 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d18 -# -- Compiling package body pck_crc8_d18 -# -- Loading package pck_crc8_d18 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d16 -# -- Compiling package body pck_crc8_d16 -# -- Loading package pck_crc8_d16 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc8_d10 -# -- Compiling package body pck_crc8_d10 -# -- Loading package pck_crc8_d10 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc64_d8 -# -- Compiling package body pck_crc64_d8 -# -- Loading package pck_crc64_d8 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc64_d72 -# -- Compiling package body pck_crc64_d72 -# -- Loading package pck_crc64_d72 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc64_d64 -# -- Compiling package body pck_crc64_d64 -# -- Loading package pck_crc64_d64 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc64_d512 -# -- Compiling package body pck_crc64_d512 -# -- Loading package pck_crc64_d512 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc64_d32 -# -- Compiling package body pck_crc64_d32 -# -- Loading package pck_crc64_d32 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc64_d256 -# -- Compiling package body pck_crc64_d256 -# -- Loading package pck_crc64_d256 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc64_d16 -# -- Compiling package body pck_crc64_d16 -# -- Loading package pck_crc64_d16 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc64_d128 -# -- Compiling package body pck_crc64_d128 -# -- Loading package pck_crc64_d128 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc64_d1024 -# -- Compiling package body pck_crc64_d1024 -# -- Loading package pck_crc64_d1024 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d9 -# -- Compiling package body pck_crc32_d9 -# -- Loading package pck_crc32_d9 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d8 -# -- Compiling package body pck_crc32_d8 -# -- Loading package pck_crc32_d8 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d72 -# -- Compiling package body pck_crc32_d72 -# -- Loading package pck_crc32_d72 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d64 -# -- Compiling package body pck_crc32_d64 -# -- Loading package pck_crc32_d64 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d512 -# -- Compiling package body pck_crc32_d512 -# -- Loading package pck_crc32_d512 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d48 -# -- Compiling package body pck_crc32_d48 -# -- Loading package pck_crc32_d48 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d40 -# -- Compiling package body pck_crc32_d40 -# -- Loading package pck_crc32_d40 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d4 -# -- Compiling package body pck_crc32_d4 -# -- Loading package pck_crc32_d4 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d36 -# -- Compiling package body pck_crc32_d36 -# -- Loading package pck_crc32_d36 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d32 -# -- Compiling package body pck_crc32_d32 -# -- Loading package pck_crc32_d32 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d256 -# -- Compiling package body pck_crc32_d256 -# -- Loading package pck_crc32_d256 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d24 -# -- Compiling package body pck_crc32_d24 -# -- Loading package pck_crc32_d24 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d20 -# -- Compiling package body pck_crc32_d20 -# -- Loading package pck_crc32_d20 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d18 -# -- Compiling package body pck_crc32_d18 -# -- Loading package pck_crc32_d18 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d16 -# -- Compiling package body pck_crc32_d16 -# -- Loading package pck_crc32_d16 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d128 -# -- Compiling package body pck_crc32_d128 -# -- Loading package pck_crc32_d128 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d1024 -# -- Compiling package body pck_crc32_d1024 -# -- Loading package pck_crc32_d1024 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc32_d10 -# -- Compiling package body pck_crc32_d10 -# -- Loading package pck_crc32_d10 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d9 -# -- Compiling package body pck_crc16_d9 -# -- Loading package pck_crc16_d9 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d8 -# -- Compiling package body pck_crc16_d8 -# -- Loading package pck_crc16_d8 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d72 -# -- Compiling package body pck_crc16_d72 -# -- Loading package pck_crc16_d72 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d64 -# -- Compiling package body pck_crc16_d64 -# -- Loading package pck_crc16_d64 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d48 -# -- Compiling package body pck_crc16_d48 -# -- Loading package pck_crc16_d48 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d4 -# -- Compiling package body pck_crc16_d4 -# -- Loading package pck_crc16_d4 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d36 -# -- Compiling package body pck_crc16_d36 -# -- Loading package pck_crc16_d36 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d32 -# -- Compiling package body pck_crc16_d32 -# -- Loading package pck_crc16_d32 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d24 -# -- Compiling package body pck_crc16_d24 -# -- Loading package pck_crc16_d24 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d20 -# -- Compiling package body pck_crc16_d20 -# -- Loading package pck_crc16_d20 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d18 -# -- Compiling package body pck_crc16_d18 -# -- Loading package pck_crc16_d18 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d16 -# -- Compiling package body pck_crc16_d16 -# -- Loading package pck_crc16_d16 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package pck_crc16_d10 -# -- Compiling package body pck_crc16_d10 -# -- Loading package pck_crc16_d10 -# [mk compile dp] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project dp -# Compile of dp_stream_pkg.vhd was successful. -# Compile of dp_example_dut.vhd was successful. -# Compile of dp_packetizing_pkg.vhd was successful. -# Compile of dp_packet_pkg.vhd was successful. -# Compile of dp_eop_extend.vhd was successful. -# Compile of dp_validate.vhd was successful. -# Compile of dp_ready.vhd was successful. -# Compile of dp_frame_busy.vhd was successful. -# Compile of dp_frame_busy_arr.vhd was successful. -# Compile of dp_xonoff.vhd was successful. -# Compile of dp_flush.vhd was successful. -# Compile of dp_latency_increase.vhd was successful. -# Compile of dp_latency_adapter.vhd was successful. -# Compile of dp_latency_fifo.vhd was successful. -# Compile of dp_hold_data.vhd was successful. -# Compile of dp_hold_ctrl.vhd was successful. -# Compile of dp_hold_input.vhd was successful. -# Compile of dp_pipeline.vhd was successful. -# Compile of dp_pipeline_arr.vhd was successful. -# Compile of dp_pipeline_ready.vhd was successful. -# Compile of dp_paged_sop_eop_reg.vhd was successful. -# Compile of dp_packet_detect.vhd was successful. -# Compile of dp_shiftreg.vhd was successful. -# Compile of dp_fifo_info.vhd was successful. -# Compile of dp_fifo_core.vhd was successful. -# Compile of dp_fifo_sc.vhd was successful. -# Compile of dp_fifo_fill.vhd was successful with warnings. -# Compile of dp_fifo_dc.vhd was successful. -# Compile of dp_fifo_dc_mixed_widths.vhd was successful. -# Compile of dp_fifo_fill_core.vhd was successful. -# Compile of dp_fifo_fill_sc.vhd was successful. -# Compile of dp_fifo_fill_dc.vhd was successful. -# Compile of dp_fifo_to_mm.vhd was successful. -# Compile of dp_fifo_to_mm_reg.vhd was successful. -# Compile of dp_fifo_from_mm.vhd was successful. -# Compile of dp_fifo_from_mm_reg.vhd was successful. -# Compile of mms_dp_fifo_to_mm.vhd was successful. -# Compile of mms_dp_fifo_from_mm.vhd was successful. -# Compile of dp_mux.vhd was successful with warnings. -# Compile of dp_demux.vhd was successful with warnings. -# Compile of dp_loopback.vhd was successful. -# Compile of dp_concat.vhd was successful. -# Compile of dp_split.vhd was successful. -# Compile of dp_split_reg.vhd was successful. -# Compile of mms_dp_split.vhd was successful. -# Compile of dp_pad_insert.vhd was successful. -# Compile of dp_pad_remove.vhd was successful. -# Compile of dp_block_gen.vhd was successful. -# Compile of dp_bsn_source.vhd was successful. -# Compile of dp_bsn_source_reg.vhd was successful. -# Compile of mms_dp_bsn_source.vhd was successful. -# Compile of dp_bsn_scheduler.vhd was successful. -# Compile of dp_bsn_scheduler_reg.vhd was successful. -# Compile of mms_dp_bsn_scheduler.vhd was successful. -# Compile of dp_bsn_delay.vhd was successful. -# Compile of dp_bsn_align.vhd was successful. -# Compile of dp_frame_rd.vhd was successful. -# Compile of dp_frame_fsn.vhd was successful. -# Compile of dp_frame_tx.vhd was successful. -# Compile of dp_frame_rx.vhd was successful. -# Compile of dp_frame_status.vhd was successful. -# Compile of dp_frame.vhd was successful. -# Compile of dp_unframe.vhd was successful. -# Compile of dp_repack.vhd was successful. -# Compile of dp_repack_data.vhd was successful. -# Compile of dp_frame_repack.vhd was successful. -# Compile of dp_frame_scheduler.vhd was successful. -# Compile of dp_packet_enc.vhd was successful. -# Compile of dp_packet_enc_channel_lo.vhd was successful. -# Compile of dp_packet_dec.vhd was successful. -# Compile of dp_packet_dec_channel_lo.vhd was successful. -# Compile of dp_gap.vhd was successful. -# Compile of dp_mon.vhd was successful. -# Compile of dp_bsn_monitor.vhd was successful. -# Compile of dp_bsn_monitor_reg.vhd was successful. -# Compile of mms_dp_bsn_monitor.vhd was successful. -# Compile of dp_distribute.vhd was successful. -# Compile of dp_ram_from_mm.vhd was successful. -# Compile of dp_ram_from_mm_reg.vhd was successful. -# Compile of mms_dp_ram_from_mm.vhd was successful. -# Compile of dp_ram_to_mm.vhd was successful. -# Compile of dp_hdr_insert.vhd was successful. -# Compile of dp_hdr_remove.vhd was successful. -# Compile of dp_tail_remove.vhd was successful. -# Compile of dp_frame_remove.vhd was successful. -# Compile of dp_throttle.vhd was successful. -# Compile of dp_throttle_reg.vhd was successful. -# Compile of mms_dp_throttle.vhd was successful. -# Compile of dp_packet_merge.vhd was successful. -# Compile of mms_dp_packet_merge.vhd was successful. -# Compile of dp_packet_unmerge.vhd was successful. -# Compile of dp_offload_tx_legacy.vhd was successful. -# Compile of dp_offload_tx_len_calc.vhd was successful. -# Compile of dp_field_blk.vhd was successful. -# Compile of dp_offload_tx.vhd was successful. -# Compile of dp_offload_rx.vhd was successful. -# Compile of dp_deinterleave.vhd was successful. -# Compile of dp_reinterleave.vhd was successful. -# Compile of dp_requantize.vhd was successful. -# Compile of dp_wideband_sp_arr_scope.vhd was successful. -# Compile of dp_wideband_wb_arr_scope.vhd was successful. -# Compile of dp_throttle_sop.vhd was successful. -# Compile of dp_barrel_shift.vhd was successful. -# Compile of dp_shiftram.vhd was successful. -# Compile of dp_src_out_timer.vhd was successful. -# Compile of dp_sync_checker.vhd was successful. -# Compile of dp_stream_player.vhd was successful. -# Compile of dp_stream_recorder.vhd was successful. -# Compile of dp_stream_rec_play.vhd was successful. -# Compile of dp_top.vhd was successful. -# Compile of tb_dp_pkg.vhd was successful. -# Compile of dp_phy_link.vhd was successful. -# Compile of dp_stream_stimuli.vhd was successful. -# Compile of dp_stream_verify.vhd was successful. -# Compile of tb_dp_block_gen.vhd was successful. -# Compile of tb_dp_bsn_align.vhd was successful. -# Compile of tb_dp_bsn_monitor.vhd was successful. -# Compile of tb_dp_bsn_source.vhd was successful. -# Compile of tb_dp_demux.vhd was successful. -# Compile of tb2_dp_demux.vhd was successful. -# Compile of tb3_dp_demux.vhd was successful. -# Compile of tb_dp_concat.vhd was successful. -# Compile of tb_dp_deinterleave.vhd was successful. -# Compile of tb_dp_distribute.vhd was successful. -# Compile of tb_dp_example_dut.vhd was successful. -# Compile of tb_dp_fifo_fill.vhd was successful. -# Compile of tb_dp_fifo_fill_sc.vhd was successful. -# Compile of tb_dp_fifo_info.vhd was successful. -# Compile of tb_dp_fifo_dc.vhd was successful. -# Compile of tb_dp_fifo_dc_mixed_widths.vhd was successful. -# Compile of tb_dp_fifo_sc.vhd was successful. -# Compile of tb_dp_fifo_to_mm.vhd was successful. -# Compile of tb_dp_flush.vhd was successful. -# Compile of tb_dp_gap.vhd was successful. -# Compile of tb_dp_hdr_insert_remove.vhd was successful. -# Compile of tb_dp_frame_rd.vhd was successful. -# Compile of tb_dp_frame_scheduler.vhd was successful. -# Compile of tb_dp_latency_adapter.vhd was successful. -# Compile of tb_dp_latency_fifo.vhd was successful. -# Compile of tb_dp_mux.vhd was successful with warnings. -# Compile of tb2_dp_mux.vhd was successful. -# Compile of tb3_dp_mux.vhd was successful. -# Compile of tb_dp_packet.vhd was successful. -# Compile of tb_dp_packet_merge.vhd was successful. -# Compile of tb_dp_packetizing.vhd was successful. -# Compile of tb_dp_pad_insert_remove.vhd was successful. -# Compile of tb_dp_pipeline.vhd was successful. -# Compile of tb_dp_pipeline_ready.vhd was successful. -# Compile of tb_dp_reinterleave.vhd was successful. -# Compile of tb_dp_repack.vhd was successful. -# Compile of tb_dp_repack_data.vhd was successful. -# Compile of tb_dp_shiftreg.vhd was successful. -# Compile of tb_dp_split.vhd was successful. -# Compile of tb_dp_tail_remove.vhd was successful. -# Compile of tb_dp_throttle_sop.vhd was successful. -# Compile of tb_mms_dp_fields.vhd was successful. -# Compile of tb_dp_sync_checker.vhd was successful. -# Compile of tb_dp_xonoff.vhd was successful. -# Compile of tb_tb_dp_block_gen.vhd was successful. -# Compile of tb_tb_dp_bsn_align.vhd was successful. -# Compile of tb_tb_dp_concat.vhd was successful. -# Compile of tb_tb_dp_demux.vhd was successful. -# Compile of tb_tb2_dp_demux.vhd was successful. -# Compile of tb_tb3_dp_demux.vhd was successful. -# Compile of tb_tb_dp_distribute.vhd was successful. -# Compile of tb_tb_dp_example_dut.vhd was successful. -# Compile of tb_tb_dp_flush.vhd was successful. -# Compile of tb_tb_dp_fifo_info.vhd was successful. -# Compile of tb_tb_dp_fifo_sc.vhd was successful. -# Compile of tb_tb_dp_fifo_fill.vhd was successful. -# Compile of tb_tb_dp_fifo_fill_sc.vhd was successful. -# Compile of tb_tb_dp_fifo_dc.vhd was successful. -# Compile of tb_tb_dp_fifo_dc_mixed_widths.vhd was successful. -# Compile of tb_tb_dp_frame_scheduler.vhd was successful. -# Compile of tb_tb_dp_latency_fifo.vhd was successful. -# Compile of tb_tb_dp_mux.vhd was successful. -# Compile of tb_tb2_dp_mux.vhd was successful. -# Compile of tb_tb3_dp_mux.vhd was successful. -# Compile of tb_tb_dp_pad_insert_remove.vhd was successful. -# Compile of tb_tb_dp_packetizing.vhd was successful. -# Compile of tb_tb_dp_packet.vhd was successful. -# Compile of tb_tb_dp_packet_merge.vhd was successful. -# Compile of tb_tb_dp_pipeline.vhd was successful. -# Compile of tb_tb_dp_pipeline_ready.vhd was successful. -# Compile of tb_tb_dp_repack_data.vhd was successful. -# Compile of tb_tb_dp_split.vhd was successful. -# Compile of tb_tb_dp_sync_checker.vhd was successful. -# Compile of tb_tb_tb_dp_backpressure.vhd was successful. -# 188 compiles, 0 failed with no errors. -# [mk vmake dp] -# -# [mk make dp] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package dp_stream_pkg -# -- Compiling package body dp_stream_pkg -# -- Loading package dp_stream_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_frame_busy -# -- Compiling architecture str of dp_frame_busy -# -- Loading entity common_switch -# -- Loading entity common_pipeline_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_frame_busy_arr -# -- Compiling architecture str of dp_frame_busy_arr -# -- Loading entity dp_frame_busy -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity dp_hold_ctrl -# -- Compiling architecture rtl of dp_hold_ctrl -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_hold_input -# -- Compiling architecture rtl of dp_hold_input -# -- Loading entity dp_hold_ctrl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_latency_increase -# -- Compiling architecture rtl of dp_latency_increase -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_latency_adapter -# -- Compiling architecture rtl of dp_latency_adapter -# -- Loading entity dp_latency_increase -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_core -# -- Compiling architecture str of dp_fifo_core -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_fifo_sc -# -- Loading entity common_fifo_dc -# -- Loading entity dp_latency_adapter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_sc -# -- Compiling architecture str of dp_fifo_sc -# -- Loading entity dp_fifo_core -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_fill -# -- Compiling architecture rtl of dp_fifo_fill -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_hold_input -# ** Warning: [5] /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_fifo_fill.vhd(114): Nonresolved signal 'nxt_state' may have multiple sources. -# Drivers: -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_fifo_fill.vhd(195):Process p_state -# Driven at: -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_fifo_fill.vhd(197) -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_fifo_fill.vhd(275):Process p_state -# Driven at: -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_fifo_fill.vhd(277) -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_mux -# -- Compiling architecture rtl of dp_mux -# -- Loading entity dp_fifo_fill -# -- Loading entity dp_hold_input -# -- Loading entity dp_frame_busy_arr -# ** Warning: [5] /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(141): Nonresolved signal 'nxt_state' may have multiple sources. -# Drivers: -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(312):Process p_state -# Driven at: -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(314) -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(350):Process p_state -# Driven at: -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(356) -# ** Warning: [5] /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(151): Nonresolved signal 'nxt_in_sel' may have multiple sources. -# Drivers: -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(282):Conditional signal assignment line__282 -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(312):Process p_state -# Driven at: -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(315) -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(350):Process p_state -# Driven at: -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/base/dp/src/vhdl/dp_mux.vhd(354) -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling package tb_dp_pkg -# -- Compiling package body tb_dp_pkg -# -- Loading package tb_dp_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb3_dp_mux -# -- Compiling architecture tb of tb3_dp_mux -# -- Loading entity dp_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb3_dp_mux -# -- Compiling architecture tb of tb_tb3_dp_mux -# -- Loading entity tb3_dp_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_packet_detect -# -- Compiling architecture str of dp_packet_detect -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_demux -# -- Compiling architecture rtl of dp_demux -# -- Loading entity dp_packet_detect -# -- Loading entity dp_latency_adapter -# -- Loading entity dp_latency_increase -# ** Warning: [5] /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_demux.vhd(94): Nonresolved signal 'output_select' may have multiple sources. -# Drivers: -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_demux.vhd(119):Conditional signal assignment line__119 -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_demux.vhd(124):Process p_clk -# Driven at: -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_demux.vhd(127) -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_demux.vhd(145):Process p_clk -# Driven at: -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_demux.vhd(148) -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_demux.vhd(165):Process p_select -# Driven at: -# /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/src/vhdl/dp_demux.vhd(167) -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb3_dp_demux -# -- Compiling architecture tb of tb3_dp_demux -# -- Loading entity dp_demux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb3_dp_demux -# -- Compiling architecture tb of tb_tb3_dp_demux -# -- Loading entity tb3_dp_demux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb2_dp_mux -# -- Compiling architecture tb of tb2_dp_mux -# -- Loading entity dp_mux -# -- Loading entity dp_demux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb2_dp_mux -# -- Compiling architecture tb of tb_tb2_dp_mux -# -- Loading entity tb2_dp_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb2_dp_demux -# -- Compiling architecture tb of tb2_dp_demux -# -- Loading entity dp_demux -# -- Loading entity dp_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb2_dp_demux -# -- Compiling architecture tb of tb_tb2_dp_demux -# -- Loading entity tb2_dp_demux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_split -# -- Compiling architecture rtl of dp_split -# -- Loading entity dp_hold_input -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_split -# -- Compiling architecture tb of tb_dp_split -# -- Loading entity dp_split -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_split -# -- Compiling architecture tb of tb_tb_dp_split -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_dp_split -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_repack_in -# -- Compiling architecture rtl of dp_repack_in -# -- Compiling entity dp_repack_out -# -- Compiling architecture rtl of dp_repack_out -# -- Compiling entity dp_repack_data -# -- Compiling architecture str of dp_repack_data -# -- Loading entity dp_repack_in -# -- Loading entity dp_repack_out -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity dp_stream_verify -# -- Compiling architecture tb of dp_stream_verify -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity dp_stream_stimuli -# -- Compiling architecture str of dp_stream_stimuli -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_repack_data -# -- Compiling architecture tb of tb_dp_repack_data -# -- Loading entity dp_stream_stimuli -# -- Loading entity dp_stream_verify -# -- Loading entity dp_repack_data -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_repack_data -# -- Compiling architecture tb of tb_tb_dp_repack_data -# -- Loading entity tb_dp_repack_data -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_pipeline_ready -# -- Compiling architecture str of dp_pipeline_ready -# -- Loading entity dp_latency_increase -# -- Loading entity dp_latency_adapter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_pipeline_ready -# -- Compiling architecture tb of tb_dp_pipeline_ready -# -- Loading entity dp_latency_adapter -# -- Loading entity dp_pipeline_ready -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_pipeline_ready -# -- Compiling architecture tb of tb_tb_dp_pipeline_ready -# -- Loading entity tb_dp_pipeline_ready -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_pipeline -# -- Compiling entity dp_pipeline_one -# -- Compiling architecture str of dp_pipeline -# -- Compiling architecture str of dp_pipeline_one -# -- Loading entity dp_hold_input -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_pipeline -# -- Compiling architecture tb of tb_dp_pipeline -# -- Loading entity dp_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_pipeline -# -- Compiling architecture tb of tb_tb_dp_pipeline -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_dp_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_pad_remove -# -- Compiling architecture str of dp_pad_remove -# -- Loading entity dp_split -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_concat -# -- Compiling architecture rtl of dp_concat -# -- Loading entity dp_hold_input -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_pad_insert -# -- Compiling architecture str of dp_pad_insert -# -- Loading entity dp_hold_input -# -- Loading entity dp_concat -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_pad_insert_remove -# -- Compiling architecture tb of tb_dp_pad_insert_remove -# -- Loading entity dp_pad_insert -# -- Loading entity dp_pad_remove -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_pad_insert_remove -# -- Compiling architecture tb of tb_tb_dp_pad_insert_remove -# -- Loading entity tb_dp_pad_insert_remove -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_packet_unmerge -# -- Compiling architecture rtl of dp_packet_unmerge -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_packet_merge -# -- Compiling architecture rtl of dp_packet_merge -# -- Loading entity dp_latency_adapter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_packet_merge -# -- Compiling architecture tb of tb_dp_packet_merge -# -- Loading entity dp_packet_merge -# -- Loading entity dp_packet_unmerge -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_packet_merge -# -- Compiling architecture tb of tb_tb_dp_packet_merge -# -- Loading entity tb_dp_packet_merge -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_shiftreg -# -- Compiling architecture rtl of dp_shiftreg -# -- Loading entity dp_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package dp_packet_pkg -# -- Compiling package body dp_packet_pkg -# -- Loading package dp_packet_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package dp_packet_pkg -# -- Compiling entity dp_packet_dec -# -- Compiling architecture rtl of dp_packet_dec -# -- Loading entity dp_hold_input -# -- Loading entity dp_shiftreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package dp_packet_pkg -# -- Compiling entity dp_packet_enc -# -- Compiling architecture rtl of dp_packet_enc -# -- Loading entity dp_hold_input -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_packet -# -- Compiling architecture tb of tb_dp_packet -# -- Loading package dp_packet_pkg -# -- Loading entity dp_packet_enc -# -- Loading entity dp_packet_dec -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_packet -# -- Compiling architecture tb of tb_tb_dp_packet -# -- Loading entity tb_dp_packet -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_mux -# -- Compiling architecture tb of tb_dp_mux -# ** Warning: [2] /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/dp/tb/vhdl/tb_dp_mux.vhd(261): (vcom-1090) Possible infinite loop: Process contains no WAIT statement. -# -- Loading entity dp_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_mux -# -- Compiling architecture tb of tb_tb_dp_mux -# -- Loading entity tb_dp_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_latency_fifo -# -- Compiling architecture rtl of dp_latency_fifo -# -- Loading entity dp_latency_adapter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_latency_fifo -# -- Compiling architecture tb of tb_dp_latency_fifo -# -- Loading entity dp_latency_fifo -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_latency_fifo -# -- Compiling architecture tb of tb_tb_dp_latency_fifo -# -- Loading entity tb_dp_latency_fifo -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_flush -# -- Compiling architecture rtl of dp_flush -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_flush -# -- Compiling architecture tb of tb_dp_flush -# -- Loading entity dp_flush -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_flush -# -- Compiling architecture tb of tb_tb_dp_flush -# -- Loading entity tb_dp_flush -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_fifo_sc -# -- Compiling architecture tb of tb_dp_fifo_sc -# -- Loading entity dp_fifo_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_fifo_sc -# -- Compiling architecture tb of tb_tb_dp_fifo_sc -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_dp_fifo_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_info -# -- Compiling architecture str of dp_fifo_info -# -- Loading entity dp_pipeline -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_fifo_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_fifo_info -# -- Compiling architecture tb of tb_dp_fifo_info -# -- Loading entity dp_pipeline -# -- Loading entity dp_fifo_info -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_fifo_info -# -- Compiling architecture tb of tb_tb_dp_fifo_info -# -- Loading entity tb_dp_fifo_info -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_dc -# -- Compiling architecture str of dp_fifo_dc -# -- Loading entity dp_fifo_core -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_fill_core -# -- Compiling architecture rtl of dp_fifo_fill_core -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_fifo_dc -# -- Loading entity dp_hold_input -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_fill_sc -# -- Compiling architecture str of dp_fifo_fill_sc -# -- Loading entity dp_fifo_fill_core -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_fifo_fill_sc -# -- Compiling architecture tb of tb_dp_fifo_fill_sc -# -- Loading entity dp_fifo_fill_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_fifo_fill_sc -# -- Compiling architecture tb of tb_tb_dp_fifo_fill_sc -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_dp_fifo_fill_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_fifo_fill -# -- Compiling architecture tb of tb_dp_fifo_fill -# -- Loading entity dp_fifo_fill -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_fifo_fill -# -- Compiling architecture tb of tb_tb_dp_fifo_fill -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_dp_fifo_fill -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_dc_mixed_widths -# -- Compiling architecture str of dp_fifo_dc_mixed_widths -# -- Loading entity dp_fifo_dc -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_fifo_dc_mixed_widths -# -- Loading entity dp_latency_adapter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_fifo_dc_mixed_widths -# -- Compiling architecture tb of tb_dp_fifo_dc_mixed_widths -# -- Loading entity dp_fifo_dc_mixed_widths -# -- Loading entity dp_latency_adapter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_fifo_dc_mixed_widths -# -- Compiling architecture tb of tb_tb_dp_fifo_dc_mixed_widths -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_dp_fifo_dc_mixed_widths -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_fifo_dc -# -- Compiling architecture tb of tb_dp_fifo_dc -# -- Loading entity dp_fifo_dc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_fifo_dc -# -- Compiling architecture tb of tb_tb_dp_fifo_dc -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_dp_fifo_dc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_example_dut -# -- Compiling architecture rtl of dp_example_dut -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_example_dut -# -- Compiling architecture tb of tb_dp_example_dut -# -- Loading entity dp_stream_stimuli -# -- Loading entity dp_stream_verify -# -- Loading entity dp_example_dut -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_example_dut -# -- Compiling architecture tb of tb_tb_dp_example_dut -# -- Loading entity tb_dp_example_dut -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_packet_enc_channel_lo -# -- Compiling architecture rtl of dp_packet_enc_channel_lo -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_packet_dec_channel_lo -# -- Compiling architecture rtl of dp_packet_dec_channel_lo -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_distribute -# -- Compiling architecture str of dp_distribute -# -- Loading entity dp_fifo_fill -# -- Loading entity dp_packet_dec_channel_lo -# -- Loading entity dp_demux -# -- Loading entity dp_mux -# -- Loading entity dp_packet_enc_channel_lo -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_distribute -# -- Compiling architecture tb of tb_dp_distribute -# -- Loading entity dp_distribute -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_distribute -# -- Compiling architecture tb of tb_tb_dp_distribute -# -- Loading entity tb_dp_distribute -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_demux -# -- Compiling architecture tb of tb_dp_demux -# -- Loading entity dp_mux -# -- Loading entity dp_demux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_demux -# -- Compiling architecture tb of tb_tb_dp_demux -# -- Loading entity tb_dp_demux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_concat -# -- Compiling architecture tb of tb_dp_concat -# -- Loading entity dp_concat -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_concat -# -- Compiling architecture tb of tb_tb_dp_concat -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_dp_concat -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_block_gen -# -- Compiling architecture rtl of dp_block_gen -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_bsn_align -# -- Compiling architecture rtl of dp_bsn_align -# -- Loading entity common_switch -# -- Loading entity dp_block_gen -# -- Loading entity dp_hold_input -# -- Loading entity common_operation_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_bsn_align -# -- Compiling architecture tb of tb_dp_bsn_align -# -- Loading entity dp_bsn_align -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_bsn_align -# -- Compiling architecture tb of tb_tb_dp_bsn_align -# -- Loading entity tb_dp_bsn_align -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_block_gen -# -- Compiling architecture tb of tb_dp_block_gen -# -- Loading entity dp_block_gen -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_block_gen -# -- Compiling architecture tb of tb_tb_dp_block_gen -# -- Loading entity tb_dp_block_gen -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_shiftreg -# -- Compiling architecture tb of tb_dp_shiftreg -# -- Loading entity dp_shiftreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_latency_adapter -# -- Compiling architecture tb of tb_dp_latency_adapter -# -- Loading entity dp_latency_adapter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_to_mm -# -- Compiling architecture str of dp_fifo_to_mm -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_from_mm -# -- Compiling architecture str of dp_fifo_from_mm -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_fifo_to_mm -# -- Compiling architecture tb of tb_dp_fifo_to_mm -# -- Loading entity dp_fifo_from_mm -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_fifo_to_mm -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_tb_dp_backpressure -# -- Compiling architecture tb of tb_tb_tb_dp_backpressure -# -- Loading entity tb_dp_fifo_to_mm -# -- Loading entity tb_dp_latency_adapter -# -- Loading entity tb_dp_shiftreg -# -- Loading entity tb_tb_dp_block_gen -# -- Loading entity tb_tb_dp_bsn_align -# -- Loading entity tb_tb_dp_concat -# -- Loading entity tb_tb_dp_demux -# -- Loading entity tb_tb_dp_distribute -# -- Loading entity tb_tb_dp_example_dut -# -- Loading entity tb_tb_dp_fifo_dc -# -- Loading entity tb_tb_dp_fifo_dc_mixed_widths -# -- Loading entity tb_tb_dp_fifo_fill -# -- Loading entity tb_tb_dp_fifo_fill_sc -# -- Loading entity tb_tb_dp_fifo_info -# -- Loading entity tb_tb_dp_fifo_sc -# -- Loading entity tb_tb_dp_flush -# -- Loading entity tb_tb_dp_latency_fifo -# -- Loading entity tb_tb_dp_mux -# -- Loading entity tb_tb_dp_packet -# -- Loading entity tb_tb_dp_packet_merge -# -- Loading entity tb_tb_dp_pad_insert_remove -# -- Loading entity tb_tb_dp_pipeline -# -- Loading entity tb_tb_dp_pipeline_ready -# -- Loading entity tb_tb_dp_repack_data -# -- Loading entity tb_tb_dp_split -# -- Loading entity tb_tb2_dp_demux -# -- Loading entity tb_tb2_dp_mux -# -- Loading entity tb_tb3_dp_demux -# -- Loading entity tb_tb3_dp_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_sync_checker -# -- Compiling architecture str of dp_sync_checker -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_sync_checker -# -- Compiling architecture tb of tb_dp_sync_checker -# -- Loading package common_mem_pkg -# -- Loading entity dp_sync_checker -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_dp_sync_checker -# -- Compiling architecture tb of tb_tb_dp_sync_checker -# -- Loading entity tb_dp_sync_checker -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Compiling package dp_packetizing_pkg -# -- Compiling package body dp_packetizing_pkg -# -- Loading package dp_packetizing_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Loading package dp_packetizing_pkg -# -- Compiling entity dp_unframe -# -- Compiling architecture rtl of dp_unframe -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity dp_frame_rd -# -- Compiling architecture rtl of dp_frame_rd -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Loading package dp_packetizing_pkg -# -- Compiling entity dp_frame_rx -# -- Compiling architecture rtl of dp_frame_rx -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity dp_phy_link -# -- Compiling architecture beh of dp_phy_link -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Loading package dp_packetizing_pkg -# -- Compiling entity dp_frame_tx -# -- Compiling architecture rtl of dp_frame_tx -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Loading package dp_packetizing_pkg -# -- Compiling entity dp_frame -# -- Compiling architecture rtl of dp_frame -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity dp_repack -# -- Compiling architecture rtl of dp_repack -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity dp_frame_repack -# -- Compiling architecture str of dp_frame_repack -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Loading package dp_packetizing_pkg -# -- Loading entity dp_unframe -# -- Loading entity dp_repack -# -- Loading entity dp_frame -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity dp_frame_fsn -# -- Compiling architecture rtl of dp_frame_fsn -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Loading package dp_packetizing_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_packetizing -# -- Compiling architecture tb of tb_dp_packetizing -# -- Loading entity dp_frame_fsn -# -- Loading entity dp_frame -# -- Loading entity dp_frame_repack -# -- Loading entity dp_frame_tx -# -- Loading entity dp_phy_link -# -- Loading entity dp_frame_rx -# -- Loading entity dp_fifo_fill -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_frame_rd -# -- Loading entity dp_unframe -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_packetizing -# -- Compiling architecture tb of tb_tb_dp_packetizing -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Loading package dp_packetizing_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_dp_packetizing -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_xonoff -# -- Compiling architecture rtl of dp_xonoff -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_frame_scheduler -# -- Compiling architecture rtl of dp_frame_scheduler -# -- Loading entity dp_xonoff -# -- Loading entity dp_fifo_fill -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Loading package dp_packetizing_pkg -# -- Compiling entity tb_dp_frame_scheduler -# -- Compiling architecture tb of tb_dp_frame_scheduler -# -- Loading entity dp_frame_scheduler -# -- Loading entity dp_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_dp_frame_scheduler -# -- Compiling architecture tb of tb_tb_dp_frame_scheduler -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package rad_crc16_d16 -# -- Loading package rad_crc18_d18 -# -- Loading package rad_crc20_d20 -# -- Loading package pck_crc16_d4 -# -- Loading package pck_crc16_d8 -# -- Loading package pck_crc16_d9 -# -- Loading package pck_crc16_d10 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc16_d18 -# -- Loading package pck_crc16_d20 -# -- Loading package pck_crc16_d32 -# -- Loading package pck_crc16_d36 -# -- Loading package pck_crc16_d48 -# -- Loading package pck_crc16_d64 -# -- Loading package pck_crc16_d72 -# -- Loading package pck_crc32_d4 -# -- Loading package pck_crc32_d8 -# -- Loading package pck_crc32_d9 -# -- Loading package pck_crc32_d10 -# -- Loading package pck_crc32_d16 -# -- Loading package pck_crc32_d18 -# -- Loading package pck_crc32_d20 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc32_d36 -# -- Loading package pck_crc32_d48 -# -- Loading package pck_crc32_d64 -# -- Loading package pck_crc32_d72 -# -- Loading package dp_packetizing_pkg -# -- Loading entity tb_dp_frame_scheduler -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package tb_common_mem_pkg -# -- Compiling entity tb_mms_dp_fields -# -- Compiling architecture tb of tb_mms_dp_fields -# -- Loading entity mm_fields -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_xonoff -# -- Compiling architecture tb of tb_dp_xonoff -# -- Loading entity dp_xonoff -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_throttle_sop -# -- Compiling architecture str of dp_throttle_sop -# -- Loading entity common_counter -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_throttle_sop -# -- Compiling architecture tb of tb_dp_throttle_sop -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_throttle_sop -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_tail_remove -# -- Compiling architecture str of dp_tail_remove -# -- Loading entity dp_shiftreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_tail_remove -# -- Compiling architecture tb of tb_dp_tail_remove -# -- Loading entity dp_concat -# -- Loading package common_mem_pkg -# -- Loading entity dp_tail_remove -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_repack -# -- Compiling architecture tb of tb_dp_repack -# -- Loading entity dp_stream_stimuli -# -- Loading entity dp_stream_verify -# -- Loading entity dp_repack -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_reinterleave -# -- Compiling architecture wrap of dp_reinterleave -# -- Loading entity common_reinterleave -# -- Loading entity common_shiftreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_reinterleave -# -- Compiling architecture rtl of tb_dp_reinterleave -# -- Loading entity dp_reinterleave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_ram_to_mm -# -- Compiling architecture rtl of dp_ram_to_mm -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_cr_cw_ratio -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_hdr_remove -# -- Compiling architecture str of dp_hdr_remove -# -- Loading entity dp_ram_to_mm -# -- Loading entity dp_split -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity dp_ram_from_mm_reg -# -- Compiling architecture rtl of dp_ram_from_mm_reg -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_ram_from_mm -# -- Compiling architecture rtl of dp_ram_from_mm -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_cr_cw_ratio -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_dp_ram_from_mm -# -- Compiling architecture str of mms_dp_ram_from_mm -# -- Loading entity dp_ram_from_mm -# -- Loading entity dp_ram_from_mm_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_hdr_insert -# -- Compiling architecture str of dp_hdr_insert -# -- Loading entity mms_dp_ram_from_mm -# -- Loading entity dp_concat -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Loading package common_str_pkg -# -- Compiling entity tb_dp_hdr_insert_remove -# -- Compiling architecture tb of tb_dp_hdr_insert_remove -# -- Loading entity dp_hdr_insert -# -- Loading entity dp_hdr_remove -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_gap -# -- Compiling architecture rtl of dp_gap -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_gap -# -- Compiling architecture tb of tb_dp_gap -# -- Loading entity dp_gap -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_frame_rd -# -- Compiling architecture tb of tb_dp_frame_rd -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_frame_rd -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_deinterleave -# -- Compiling architecture wrap of dp_deinterleave -# -- Loading entity common_deinterleave -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_deinterleave -# -- Compiling architecture tb of tb_dp_deinterleave -# -- Loading entity dp_deinterleave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_bsn_source -# -- Compiling architecture rtl of dp_bsn_source -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_bsn_source -# -- Compiling architecture tb of tb_dp_bsn_source -# -- Loading entity dp_bsn_source -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_bsn_monitor -# -- Compiling architecture rtl of dp_bsn_monitor -# -- Loading entity common_counter -# -- Loading entity common_evt -# -- Loading entity common_stable_monitor -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_dp_bsn_monitor -# -- Compiling architecture tb of tb_dp_bsn_monitor -# -- Loading entity dp_bsn_monitor -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_throttle -# -- Compiling architecture str of dp_throttle -# -- Loading entity common_duty_cycle -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity dp_throttle_reg -# -- Compiling architecture rtl of dp_throttle_reg -# -- Loading entity common_reg_cross_domain -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_dp_throttle -# -- Compiling architecture str of mms_dp_throttle -# -- Loading entity dp_throttle_reg -# -- Loading entity dp_throttle -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity dp_split_reg -# -- Compiling architecture str of dp_split_reg -# -- Loading entity common_reg_cross_domain -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_dp_split -# -- Compiling architecture str of mms_dp_split -# -- Loading entity common_mem_mux -# -- Loading entity dp_split_reg -# -- Loading entity dp_split -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_dp_packet_merge -# -- Compiling architecture str of mms_dp_packet_merge -# -- Loading entity common_mem_mux -# -- Loading entity mm_fields -# -- Loading entity dp_packet_merge -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity dp_fifo_to_mm_reg -# -- Compiling architecture rtl of dp_fifo_to_mm_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_dp_fifo_to_mm -# -- Compiling architecture str of mms_dp_fifo_to_mm -# -- Loading entity dp_fifo_to_mm -# -- Loading entity dp_fifo_to_mm_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity dp_fifo_from_mm_reg -# -- Compiling architecture rtl of dp_fifo_from_mm_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_dp_fifo_from_mm -# -- Compiling architecture str of mms_dp_fifo_from_mm -# -- Loading entity dp_fifo_from_mm -# -- Loading entity dp_fifo_from_mm_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity dp_bsn_source_reg -# -- Compiling architecture rtl of dp_bsn_source_reg -# -- Loading entity common_async -# -- Loading entity common_reg_cross_domain -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_dp_bsn_source -# -- Compiling architecture str of mms_dp_bsn_source -# -- Loading entity dp_bsn_source_reg -# -- Loading entity dp_bsn_source -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_bsn_scheduler -# -- Compiling architecture rtl of dp_bsn_scheduler -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity dp_bsn_scheduler_reg -# -- Compiling architecture rtl of dp_bsn_scheduler_reg -# -- Loading entity common_reg_cross_domain -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_dp_bsn_scheduler -# -- Compiling architecture str of mms_dp_bsn_scheduler -# -- Loading entity dp_bsn_scheduler_reg -# -- Loading entity dp_bsn_scheduler -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity dp_bsn_monitor_reg -# -- Compiling architecture str of dp_bsn_monitor_reg -# -- Loading entity common_reg_r_w_dc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_dp_bsn_monitor -# -- Compiling architecture str of mms_dp_bsn_monitor -# -- Loading entity common_mem_mux -# -- Loading entity dp_bsn_monitor_reg -# -- Loading entity dp_bsn_monitor -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_wideband_wb_arr_scope -# -- Compiling architecture beh of dp_wideband_wb_arr_scope -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_wideband_sp_arr_scope -# -- Compiling architecture beh of dp_wideband_sp_arr_scope -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_validate -# -- Compiling architecture rtl of dp_validate -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_top -# -- Compiling architecture str of dp_top -# -- Loading entity common_pipeline -# -- Loading entity dp_shiftreg -# -- Loading entity dp_fifo_dc_mixed_widths -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_str_pkg -# -- Compiling entity dp_stream_recorder -# -- Compiling architecture beh of dp_stream_recorder -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_str_pkg -# -- Compiling entity dp_stream_player -# -- Compiling architecture beh of dp_stream_player -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_stream_rec_play -# -- Compiling architecture str of dp_stream_rec_play -# -- Loading package common_str_pkg -# -- Loading entity dp_stream_recorder -# -- Loading entity dp_stream_player -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_src_out_timer -# -- Compiling architecture rtl of dp_src_out_timer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_shiftram -# -- Compiling architecture str of dp_shiftram -# -- Loading entity common_shiftram -# -- Loading entity common_mem_mux -# -- Loading entity mm_fields -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_requantize -# -- Compiling architecture str of dp_requantize -# -- Loading entity common_requantize -# -- Loading entity dp_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_ready -# -- Compiling architecture rtl of dp_ready -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_pipeline_arr -# -- Compiling architecture str of dp_pipeline_arr -# -- Loading entity dp_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_paged_sop_eop_reg -# -- Compiling architecture str of dp_paged_sop_eop_reg -# -- Loading entity common_paged_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Compiling entity dp_offload_tx_len_calc -# -- Compiling architecture str of dp_offload_tx_len_calc -# -- Loading entity common_mult -# -- Loading entity common_adder_tree -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_offload_tx_legacy -# -- Compiling architecture str of dp_offload_tx_legacy -# -- Loading entity common_mem_mux -# -- Loading entity dp_fifo_sc -# -- Loading entity mms_dp_split -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading entity mms_dp_packet_merge -# -- Loading package dp_packet_pkg -# -- Loading entity dp_packet_enc -# -- Loading entity dp_hdr_insert -# -- Loading entity dp_fifo_fill -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_field_blk -# -- Compiling architecture str of dp_field_blk -# -- Loading entity dp_repack_data -# -- Loading entity mm_fields -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Compiling entity dp_offload_tx -# -- Compiling architecture str of dp_offload_tx -# -- Loading entity dp_split -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_packet_merge -# -- Loading entity dp_pipeline -# -- Loading entity dp_field_blk -# -- Loading entity dp_concat -# -- Loading entity mm_fields -# -- Loading entity common_mem_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Compiling entity dp_offload_rx -# -- Compiling architecture str of dp_offload_rx -# -- Loading entity dp_split -# -- Loading entity dp_field_blk -# -- Loading entity dp_tail_remove -# -- Loading entity common_mem_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_mon -# -- Compiling architecture rtl of dp_mon -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_loopback -# -- Compiling architecture str of dp_loopback -# -- Loading entity dp_demux -# -- Loading entity dp_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity dp_hold_data -# -- Compiling architecture rtl of dp_hold_data -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity dp_frame_status -# -- Compiling architecture rtl of dp_frame_status -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_frame_remove -# -- Compiling architecture str of dp_frame_remove -# -- Loading entity dp_latency_adapter -# -- Loading entity dp_hdr_remove -# -- Loading entity dp_tail_remove -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_fifo_fill_dc -# -- Compiling architecture str of dp_fifo_fill_dc -# -- Loading entity dp_fifo_fill_core -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity dp_eop_extend -# -- Compiling architecture rtl of dp_eop_extend -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_bsn_delay -# -- Compiling architecture rtl of dp_bsn_delay -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity dp_barrel_shift -# -- Compiling architecture str of dp_barrel_shift -# [mk compile diag] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project diag -# Compile of diag_pkg.vhd was successful. -# Compile of diag_bypass.vhd was successful. -# Compile of diag_tx_seq.vhd was successful. -# Compile of diag_tx_frm.vhd was successful. -# Compile of diag_rx_seq.vhd was successful. -# Compile of diag_frm_generator.vhd was successful. -# Compile of diag_frm_monitor.vhd was successful. -# Compile of mms_diag_tx_seq.vhd was successful. -# Compile of mms_diag_rx_seq.vhd was successful. -# Compile of diag_wg.vhd was successful. -# Compile of diag_wg_wideband.vhd was successful. -# Compile of diag_wg_wideband_reg.vhd was successful. -# Compile of mms_diag_wg_wideband.vhd was successful. -# Compile of diag_data_buffer.vhd was successful. -# Compile of mms_diag_data_buffer.vhd was successful. -# Compile of diag_block_gen.vhd was successful. -# Compile of diag_block_gen_reg.vhd was successful. -# Compile of mms_diag_block_gen.vhd was successful. -# Compile of tb_diag_pkg.vhd was successful. -# Compile of tb_diag_wg.vhd was successful. -# Compile of tb_diag_wg_wideband.vhd was successful. -# Compile of tb_diag_tx_seq.vhd was successful. -# Compile of tb_diag_rx_seq.vhd was successful. -# Compile of tb_tb_diag_rx_seq.vhd was successful. -# Compile of tb_diag_tx_frm.vhd was successful. -# Compile of tb_diag_frm_generator.vhd was successful. -# Compile of tb_diag_frm_monitor.vhd was successful. -# Compile of tb_mms_diag_seq.vhd was successful. -# Compile of tb_tb_mms_diag_seq.vhd was successful. -# Compile of tb_diag_block_gen.vhd was successful. -# Compile of tb_mms_diag_block_gen.vhd was successful. -# Compile of tb_tb_mms_diag_block_gen.vhd was successful. -# Compile of tb_diag_regression.vhd was successful. -# 33 compiles, 0 failed with no errors. -# [mk vmake diag] -# -# [mk make diag] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling package diag_pkg -# -- Compiling package body diag_pkg -# -- Loading package diag_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package diag_pkg -# -- Compiling package tb_diag_pkg -# -- Compiling package body tb_diag_pkg -# -- Loading package tb_diag_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package diag_pkg -# -- Compiling entity diag_rx_seq -# -- Compiling architecture rtl of diag_rx_seq -# -- Loading entity common_switch -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package dp_stream_pkg -# -- Loading package diag_pkg -# -- Compiling entity mms_diag_rx_seq -# -- Compiling architecture str of mms_diag_rx_seq -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diag_rx_seq -# -- Loading entity common_reg_r_w_dc -# -- Loading entity common_mem_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Compiling entity diag_tx_seq -# -- Compiling architecture rtl of diag_tx_seq -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package dp_stream_pkg -# -- Loading package diag_pkg -# -- Compiling entity mms_diag_tx_seq -# -- Compiling architecture str of mms_diag_tx_seq -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diag_tx_seq -# -- Loading entity common_reg_r_w_dc -# -- Loading entity common_mem_mux -# -- Loading entity dp_pipeline_arr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Loading package diag_pkg -# -- Loading package tb_diag_pkg -# -- Compiling entity tb_mms_diag_seq -# -- Compiling architecture str of tb_mms_diag_seq -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading entity mms_diag_tx_seq -# -- Loading entity mms_diag_rx_seq -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_mms_diag_seq -# -- Compiling architecture tb of tb_tb_mms_diag_seq -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package diag_pkg -# -- Loading package tb_diag_pkg -# -- Loading entity tb_mms_diag_seq -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package diag_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity diag_block_gen -# -- Compiling architecture rtl of diag_block_gen -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package diag_pkg -# -- Compiling entity diag_block_gen_reg -# -- Compiling architecture rtl of diag_block_gen_reg -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package diag_pkg -# -- Compiling entity mms_diag_block_gen -# -- Compiling architecture rtl of mms_diag_block_gen -# -- Loading entity diag_block_gen_reg -# -- Loading entity common_mem_mux -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_crw_crw -# -- Loading entity diag_block_gen -# -- Loading entity dp_xonoff -# -- Loading entity dp_mux -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading entity mms_diag_tx_seq -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package textio -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Loading package diag_pkg -# -- Compiling entity tb_mms_diag_block_gen -# -- Compiling architecture tb of tb_mms_diag_block_gen -# -- Loading entity mms_diag_block_gen -# -- Loading entity dp_fifo_sc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_mms_diag_block_gen -# -- Compiling architecture tb of tb_tb_mms_diag_block_gen -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package diag_pkg -# -- Loading entity tb_mms_diag_block_gen -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package diag_pkg -# -- Compiling entity tb_diag_rx_seq -# -- Compiling architecture tb of tb_diag_rx_seq -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diag_tx_seq -# -- Loading entity diag_rx_seq -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_diag_rx_seq -# -- Compiling architecture tb of tb_tb_diag_rx_seq -# -- Loading package diag_pkg -# -- Loading entity tb_diag_rx_seq -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package diag_pkg -# -- Compiling entity diag_wg -# -- Compiling architecture rtl of diag_wg -# -- Loading entity common_mult -# -- Loading entity common_round -# -- Loading entity common_clip -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package diag_pkg -# -- Compiling entity diag_wg_wideband -# -- Compiling architecture str of diag_wg_wideband -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_crw_crw -# -- Loading entity diag_wg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package diag_pkg -# -- Compiling entity tb_diag_wg_wideband -# -- Compiling architecture tb of tb_diag_wg_wideband -# -- Loading package common_mem_pkg -# -- Loading entity diag_wg_wideband -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package diag_pkg -# -- Compiling entity tb_diag_wg -# -- Compiling architecture tb of tb_diag_wg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_crw_crw -# -- Loading entity diag_wg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_diag_tx_seq -# -- Compiling architecture tb of tb_diag_tx_seq -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diag_tx_seq -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Compiling entity diag_tx_frm -# -- Compiling architecture rtl of diag_tx_frm -# -- Loading entity common_counter -# -- Loading entity diag_tx_seq -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_diag_tx_frm -# -- Compiling architecture tb of tb_diag_tx_frm -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diag_tx_frm -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity diag_frm_monitor -# -- Compiling architecture str of diag_frm_monitor -# -- Loading entity common_evt -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity diag_frm_generator -# -- Compiling architecture str of diag_frm_generator -# -- Loading entity common_evt -# -- Loading entity common_pulser -# -- Loading entity common_counter -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diag_tx_frm -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_diag_frm_monitor -# -- Compiling architecture tb of tb_diag_frm_monitor -# -- Loading entity diag_frm_generator -# -- Loading entity diag_frm_monitor -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_diag_frm_generator -# -- Compiling architecture tb of tb_diag_frm_generator -# -- Loading entity diag_frm_generator -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package diag_pkg -# -- Compiling entity tb_diag_block_gen -# -- Compiling architecture tb of tb_diag_block_gen -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_crw_crw -# -- Loading entity diag_block_gen -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_diag_regression -# -- Compiling architecture tb of tb_diag_regression -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package diag_pkg -# -- Loading entity tb_diag_block_gen -# -- Loading entity tb_diag_frm_generator -# -- Loading entity tb_diag_frm_monitor -# -- Loading entity tb_tb_diag_rx_seq -# -- Loading entity tb_tb_mms_diag_seq -# -- Loading entity tb_tb_mms_diag_block_gen -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package diag_pkg -# -- Compiling entity diag_wg_wideband_reg -# -- Compiling architecture rtl of diag_wg_wideband_reg -# -- Loading entity common_reg_cross_domain -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package diag_pkg -# -- Compiling entity mms_diag_wg_wideband -# -- Compiling architecture str of mms_diag_wg_wideband -# -- Loading entity diag_wg_wideband_reg -# -- Loading entity diag_wg_wideband -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package diag_pkg -# -- Compiling entity diag_data_buffer -# -- Compiling architecture rtl of diag_data_buffer -# -- Loading entity common_spulse -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_crw_crw_ratio -# -- Loading entity common_reg_r_w_dc -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package diag_pkg -# -- Compiling entity mms_diag_data_buffer -# -- Compiling architecture str of mms_diag_data_buffer -# -- Loading entity common_mem_mux -# -- Loading entity diag_data_buffer -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading entity mms_diag_rx_seq -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity diag_bypass -# -- Compiling architecture rtl of diag_bypass -# [mk compile uth] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project uth -# Compile of uth_pkg.vhd was successful. -# Compile of uth_tx.vhd was successful. -# Compile of uth_rx_tlen.vhd was successful. -# Compile of uth_rx.vhd was successful. -# Compile of uth_terminal_tx.vhd was successful. -# Compile of uth_terminal_rx.vhd was successful. -# Compile of uth_terminal_bidir.vhd was successful. -# Compile of tb_uth.vhd was successful. -# Compile of tb_uth_dp_packet.vhd was successful. -# Compile of tb_uth_terminals.vhd was successful. -# Compile of tb_tb_uth.vhd was successful. -# Compile of tb_tb_uth_dp_packet.vhd was successful. -# Compile of tb_tb_uth_terminals.vhd was successful. -# Compile of tb_tb_tb_uth_regression.vhd was successful. -# 14 compiles, 0 failed with no errors. -# [mk vmake uth] -# -# [mk make uth] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Compiling package uth_pkg -# -- Compiling package body uth_pkg -# -- Loading package uth_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Compiling entity uth_tx -# -- Compiling architecture rtl_delay of uth_tx -# -- Loading entity common_switch -# -- Loading entity dp_latency_adapter -# -- Compiling architecture rtl_hold of uth_tx -# -- Loading entity uth_tx -# -- Loading entity dp_hold_input -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity uth_terminal_tx -# -- Compiling architecture str of uth_terminal_tx -# -- Loading entity dp_fifo_fill -# -- Loading package dp_packet_pkg -# -- Loading entity dp_packet_enc -# -- Loading entity dp_distribute -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Loading entity uth_tx -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Compiling entity uth_rx_tlen -# -- Compiling architecture rtl of uth_rx_tlen -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Compiling entity uth_rx -# -- Compiling architecture rtl_adapt of uth_rx -# -- Loading entity dp_latency_adapter -# -- Loading entity common_counter -# -- Loading entity uth_rx_tlen -# -- Compiling architecture rtl_hold of uth_rx -# -- Loading entity uth_rx -# -- Loading entity dp_hold_input -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Compiling entity uth_terminal_rx -# -- Compiling architecture str of uth_terminal_rx -# -- Loading entity dp_fifo_fill -# -- Loading entity uth_rx -# -- Loading entity dp_distribute -# -- Loading package dp_packet_pkg -# -- Loading entity dp_packet_dec -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package dp_packet_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Compiling entity uth_terminal_bidir -# -- Compiling architecture str of uth_terminal_bidir -# -- Loading entity uth_terminal_tx -# -- Loading entity uth_terminal_rx -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package dp_packet_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_uth_terminals -# -- Compiling architecture tb of tb_uth_terminals -# -- Loading entity uth_terminal_tx -# -- Loading entity dp_fifo_sc -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Loading entity uth_terminal_rx -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package dp_packet_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_uth_dp_packet -# -- Compiling architecture tb of tb_uth_dp_packet -# -- Loading entity dp_mux -# -- Loading entity dp_demux -# -- Loading entity dp_packet_enc -# -- Loading entity dp_packet_dec -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Loading entity uth_tx -# -- Loading entity uth_rx -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_uth -# -- Compiling architecture tb of tb_uth -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Loading entity uth_tx -# -- Loading entity uth_rx -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_uth_terminals -# -- Compiling architecture tb of tb_tb_uth_terminals -# -- Loading package dp_packet_pkg -# -- Loading entity tb_uth_terminals -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_uth_dp_packet -# -- Compiling architecture tb of tb_tb_uth_dp_packet -# -- Loading package dp_packet_pkg -# -- Loading entity tb_uth_dp_packet -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tb_uth -# -- Compiling architecture tb of tb_tb_uth -# -- Loading entity tb_uth -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_tb_uth_regression -# -- Compiling architecture tb of tb_tb_tb_uth_regression -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_tb_uth -# -- Loading entity tb_tb_uth_dp_packet -# -- Loading entity tb_tb_uth_terminals -# [mk compile ppsh] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ppsh -# Compile of ppsh.vhd was successful. -# Compile of mm_ppsh.vhd was successful. -# Compile of ppsh_reg.vhd was successful. -# Compile of mms_ppsh.vhd was successful. -# Compile of tb_ppsh.vhd was successful. -# Compile of tb_mms_ppsh.vhd was successful. -# 6 compiles, 0 failed with no errors. -# [mk vmake ppsh] -# -# [mk make ppsh] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity ppsh -# -- Compiling architecture rtl of ppsh -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ddio_in -# -- Loading entity common_async -# -- Loading entity common_evt -# -- Loading entity common_interval_monitor -# -- Loading entity common_pipeline_sl -# -- Loading entity common_stable_monitor -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_ppsh -# -- Compiling architecture tb of tb_ppsh -# -- Loading entity ppsh -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity ppsh_reg -# -- Compiling architecture rtl of ppsh_reg -# -- Loading entity common_async -# -- Loading entity common_reg_cross_domain -# -- Loading entity common_spulse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity mms_ppsh -# -- Compiling architecture str of mms_ppsh -# -- Loading entity ppsh -# -- Loading entity ppsh_reg -# -- Loading entity common_spulse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Compiling entity tb_mms_ppsh -# -- Compiling architecture tb of tb_mms_ppsh -# -- Loading entity mms_ppsh -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity mm_ppsh -# -- Compiling architecture str of mm_ppsh -# -- Loading entity ppsh -# -- Loading entity common_spulse -# [mk compile i2c] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project i2c -# Compile of i2c_pkg.vhd was successful. -# Compile of i2c_bit.vhd was successful. -# Compile of i2c_byte.vhd was successful. -# Compile of i2c_smbus_pkg.vhd was successful. -# Compile of i2c_smbus.vhd was successful. -# Compile of i2c_list_ctrl.vhd was successful. -# Compile of i2c_commander_pkg.vhd was successful. -# Compile of i2c_dev_max1617_pkg.vhd was successful. -# Compile of i2c_dev_max6652_pkg.vhd was successful. -# Compile of i2c_dev_ltc4260_pkg.vhd was successful. -# Compile of i2c_dev_unb_pkg.vhd was successful. -# Compile of i2c_dev_adu_pkg.vhd was successful. -# Compile of i2c_commander_aduh_pkg.vhd was successful. -# Compile of i2c_commander_unbh_pkg.vhd was successful. -# Compile of i2c_commander_reg.vhd was successful with warnings. -# Compile of i2c_commander_ctrl.vhd was successful. -# Compile of i2c_commander.vhd was successful. -# Compile of i2c_mm.vhd was successful. -# Compile of i2c_master.vhd was successful. -# Compile of avs_i2c_master.vhd was successful. -# Compile of i2c_slv_device.vhd was successful. -# Compile of i2cslave.vhd was successful. -# Compile of dev_pca9555.vhd was successful. -# Compile of dev_max1618.vhd was successful. -# Compile of dev_max6652.vhd was successful. -# Compile of dev_ltc4260.vhd was successful. -# Compile of tb_i2cslave.vhd was successful. -# Compile of tb_i2c_master.vhd was successful. -# Compile of tb_avs_i2c_master.vhd was successful. -# Compile of tb_i2c_commander.vhd was successful. -# Compile of tb_tb_i2c_commander.vhd was successful. -# 31 compiles, 0 failed with no errors. -# [mk vmake i2c] -# -# [mk make i2c] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Compiling entity i2c_slv_device -# -- Compiling architecture beh of i2c_slv_device -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity dev_pca9555 -# -- Compiling architecture beh of dev_pca9555 -# -- Loading package std_logic_arith -# -- Loading entity i2c_slv_device -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Compiling package i2c_dev_max1617_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package i2c_dev_max1617_pkg -# -- Compiling entity dev_max1618 -# -- Compiling architecture beh of dev_max1618 -# -- Loading package std_logic_arith -# -- Loading entity i2c_slv_device -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity dev_max6652 -# -- Compiling architecture beh of dev_max6652 -# -- Loading package std_logic_arith -# -- Loading entity i2c_slv_device -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Compiling entity i2c_byte -# -- Compiling architecture structural of i2c_byte -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package i2c_smbus_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package i2c_pkg -# -- Compiling package body i2c_pkg -# -- Loading package i2c_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_smbus_pkg -# -- Compiling entity i2c_smbus -# -- Compiling architecture rtl of i2c_smbus -# -- Loading entity i2c_byte -# -- Loading entity common_switch -# -- Loading entity common_pulse_extend -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity i2c_list_ctrl -# -- Compiling architecture rtl of i2c_list_ctrl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_smbus_pkg -# -- Compiling package i2c_commander_pkg -# -- Compiling package body i2c_commander_pkg -# -- Loading package i2c_commander_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_commander_pkg -# -- Compiling entity i2c_commander_ctrl -# -- Compiling architecture rtl of i2c_commander_ctrl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_commander_pkg -# -- Compiling entity i2c_commander_reg -# -- Compiling architecture rtl of i2c_commander_reg -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/i2c/src/vhdl/i2c_commander_reg.vhd(152): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/i2c/src/vhdl/i2c_commander_reg.vhd(157): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/i2c/src/vhdl/i2c_commander_reg.vhd(160): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/i2c/src/vhdl/i2c_commander_reg.vhd(176): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/i2c/src/vhdl/i2c_commander_reg.vhd(179): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/i2c/src/vhdl/i2c_commander_reg.vhd(182): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/i2c/src/vhdl/i2c_commander_reg.vhd(186): Case choice must be a locally static expression. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Lofar/i2c/src/vhdl/i2c_commander_reg.vhd(190): Case choice must be a locally static expression. -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_commander_pkg -# -- Compiling entity i2c_commander -# -- Compiling architecture str of i2c_commander -# -- Loading entity i2c_commander_reg -# -- Loading entity i2c_commander_ctrl -# -- Loading entity common_request -# -- Loading entity i2c_list_ctrl -# -- Loading package std_logic_arith -# -- Loading entity i2c_smbus -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_rw_rw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Compiling package i2c_dev_max6652_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_dev_max1617_pkg -# -- Loading package i2c_dev_max6652_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_commander_pkg -# -- Compiling package i2c_dev_unb_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_commander_pkg -# -- Loading package i2c_dev_max1617_pkg -# -- Loading package i2c_dev_max6652_pkg -# -- Loading package i2c_dev_unb_pkg -# -- Compiling package i2c_commander_unbh_pkg -# -- Compiling package body i2c_commander_unbh_pkg -# -- Loading package i2c_commander_unbh_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_dev_max1617_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_commander_pkg -# -- Compiling package i2c_dev_adu_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_commander_pkg -# -- Loading package i2c_dev_max1617_pkg -# -- Loading package i2c_dev_adu_pkg -# -- Compiling package i2c_commander_aduh_pkg -# -- Compiling package body i2c_commander_aduh_pkg -# -- Loading package i2c_commander_aduh_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Compiling entity tb_i2c_commander -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_dev_max1617_pkg -# -- Loading package i2c_pkg -# -- Loading package i2c_commander_pkg -# -- Loading package i2c_dev_adu_pkg -# -- Loading package i2c_dev_max6652_pkg -# -- Loading package i2c_dev_unb_pkg -# -- Loading package i2c_commander_aduh_pkg -# -- Loading package i2c_commander_unbh_pkg -# -- Compiling architecture tb of tb_i2c_commander -# -- Loading entity tst_output -# -- Loading entity i2c_commander -# -- Loading entity dev_max6652 -# -- Loading entity dev_max1618 -# -- Loading entity dev_pca9555 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_i2c_commander -# -- Compiling architecture tb of tb_tb_i2c_commander -# -- Loading entity tb_i2c_commander -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Compiling entity tb_i2cslave -# -- Compiling architecture tb of tb_i2cslave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package i2c_pkg -# -- Compiling entity i2c_mm -# -- Compiling architecture str of i2c_mm -# -- Loading entity common_request -# -- Loading entity common_switch -# -- Loading entity common_reg_r_w -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_rw_rw -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_pkg -# -- Compiling entity i2c_master -# -- Compiling architecture str of i2c_master -# -- Loading package common_mem_pkg -# -- Loading entity i2c_mm -# -- Loading entity i2c_list_ctrl -# -- Loading package std_logic_arith -# -- Loading package i2c_smbus_pkg -# -- Loading entity i2c_smbus -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Compiling entity tb_i2c_master -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_dev_max1617_pkg -# -- Loading package i2c_dev_max6652_pkg -# -- Loading package i2c_pkg -# -- Compiling architecture tb of tb_i2c_master -# -- Loading entity i2c_master -# -- Loading entity dev_max1618 -# -- Loading entity dev_max6652 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_avs_i2c_master -# -- Compiling architecture tb of tb_avs_i2c_master -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Compiling entity i2cslave -# -- Compiling architecture rtl of i2cslave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Compiling package i2c_dev_ltc4260_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Compiling entity i2c_bit -# -- Compiling architecture rtl of i2c_bit -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_dev_ltc4260_pkg -# -- Compiling entity dev_ltc4260 -# -- Compiling architecture beh of dev_ltc4260 -# -- Loading package std_logic_arith -# -- Loading entity i2c_slv_device -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_pkg -# -- Compiling entity avs_i2c_master -# -- Compiling architecture wrap of avs_i2c_master -# -- Loading entity i2c_master -# [mk compile diagnostics] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project diagnostics -# Compile of diagnostics.vhd was successful. -# Compile of diagnostics_reg.vhd was successful. -# Compile of mm_rx_logger_trig.vhd was successful. -# Compile of mm_rx_logger_reg.vhd was successful. -# Compile of mm_rx_logger.vhd was successful. -# Compile of mm_tx_framer_reg.vhd was successful. -# Compile of mm_tx_framer.vhd was successful. -# Compile of mms_diagnostics.vhd was successful. -# Compile of tb_diagnostics_trnb_pkg.vhd was successful. -# Compile of tb_diagnostics.vhd was successful. -# Compile of tb_mm_tx_framer.vhd was successful. -# 11 compiles, 0 failed with no errors. -# [mk vmake diagnostics] -# -# [mk make diagnostics] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity mm_tx_framer_reg -# -- Compiling architecture rtl of mm_tx_framer_reg -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mm_tx_framer -# -- Compiling architecture str of mm_tx_framer -# -- Loading entity dp_fifo_from_mm -# -- Loading entity dp_fifo_dc_mixed_widths -# -- Loading entity mm_tx_framer_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tb_mm_tx_framer -# -- Compiling architecture tb of tb_mm_tx_framer -# -- Loading entity mm_tx_framer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package textio -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Compiling package tb_diagnostics_trnb_pkg -# -- Compiling package body tb_diagnostics_trnb_pkg -# -- Loading package tb_diagnostics_trnb_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity diagnostics -# -- Compiling architecture str of diagnostics -# -- Loading entity dp_latency_adapter -# -- Loading entity dp_block_gen -# -- Loading entity diag_tx_seq -# -- Loading package diag_pkg -# -- Loading entity diag_rx_seq -# -- Loading entity dp_mon -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tb_diagnostics -# -- Compiling architecture str of tb_diagnostics -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diagnostics -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package diag_pkg -# -- Compiling entity diagnostics_reg -# -- Compiling architecture rtl of diagnostics_reg -# -- Loading entity common_async -# -- Loading entity common_reg_cross_domain -# -- Loading entity common_spulse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity mms_diagnostics -# -- Compiling architecture str of mms_diagnostics -# -- Loading entity diagnostics -# -- Loading package diag_pkg -# -- Loading entity diagnostics_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity mm_rx_logger_trig -# -- Compiling architecture str of mm_rx_logger_trig -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity mm_rx_logger_reg -# -- Compiling architecture rtl of mm_rx_logger_reg -# -- Loading entity common_spulse -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity mm_rx_logger -# -- Compiling architecture str of mm_rx_logger -# -- Loading entity mm_rx_logger_trig -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_flush -# -- Loading entity dp_fifo_dc_mixed_widths -# -- Loading entity dp_fifo_to_mm -# -- Loading entity mm_rx_logger_reg -# [mk compile ip_stratixiv_transceiver] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_transceiver -# Compile of ip_stratixiv_gxb_reconfig_v91_2.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v91_4.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v91_8.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v91_12.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v91.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v101_4.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v101_8.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v101_12.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v101.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v111_4.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v111_16.vhd was successful. -# Compile of ip_stratixiv_gxb_reconfig_v111.vhd was successful. -# Compile of ip_stratixiv_hssi_gx_32b_generic.vhd was successful. -# Compile of ip_stratixiv_hssi_tx_32b_generic.vhd was successful. -# Compile of ip_stratixiv_hssi_rx_32b_generic.vhd was successful. -# Compile of ip_stratixiv_hssi_gx_16b.vhd was successful. -# Compile of ip_stratixiv_hssi_tx_16b.vhd was successful. -# Compile of ip_stratixiv_hssi_rx_16b.vhd was successful. -# 18 compiles, 0 failed with no errors. -# [mk vmake ip_stratixiv_transceiver] -# -# [mk make ip_stratixiv_transceiver] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_hssi_tx_32b_generic_alt4gxb_dgn4 -# -- Compiling architecture rtl of ip_stratixiv_hssi_tx_32b_generic_alt4gxb_dgn4 -# -- Compiling entity ip_stratixiv_hssi_tx_32b_generic -# -- Compiling architecture rtl of ip_stratixiv_hssi_tx_32b_generic -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_hssi_tx_16b_alt4gxb -# -- Compiling architecture rtl of ip_stratixiv_hssi_tx_16b_alt4gxb -# -- Compiling entity ip_stratixiv_hssi_tx_16b -# -- Compiling architecture rtl of ip_stratixiv_hssi_tx_16b -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_hssi_rx_32b_generic_alt4gxb_qi67 -# -- Compiling architecture rtl of ip_stratixiv_hssi_rx_32b_generic_alt4gxb_qi67 -# -- Compiling entity ip_stratixiv_hssi_rx_32b_generic -# -- Compiling architecture rtl of ip_stratixiv_hssi_rx_32b_generic -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_hssi_rx_16b_alt4gxb -# -- Compiling architecture rtl of ip_stratixiv_hssi_rx_16b_alt4gxb -# -- Compiling entity ip_stratixiv_hssi_rx_16b -# -- Compiling architecture rtl of ip_stratixiv_hssi_rx_16b -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_hssi_gx_32b_generic_alt4gxb_81na -# -- Compiling architecture rtl of ip_stratixiv_hssi_gx_32b_generic_alt4gxb_81na -# -- Compiling entity ip_stratixiv_hssi_gx_32b_generic -# -- Compiling architecture rtl of ip_stratixiv_hssi_gx_32b_generic -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_hssi_gx_16b_alt4gxb -# -- Compiling architecture rtl of ip_stratixiv_hssi_gx_16b_alt4gxb -# -- Compiling entity ip_stratixiv_hssi_gx_16b -# -- Compiling architecture rtl of ip_stratixiv_hssi_gx_16b -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_8_alt_dprio_2vj -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_8_alt_dprio_2vj -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_8_mux_c6a -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_8_mux_c6a -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_8_alt2gxb_reconfig_njm -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_8_alt2gxb_reconfig_njm -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_8 -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_8 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_4_alt_dprio_2vj -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_4_alt_dprio_2vj -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_4_mux_76a -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_4_mux_76a -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_4_alt2gxb_reconfig_9im -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_4_alt2gxb_reconfig_9im -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_4 -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_4 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_2_alt_dprio_2vj -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_2_alt_dprio_2vj -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_2_mux_46a -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_2_mux_46a -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_2_alt2gxb_reconfig_hgm -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_2_alt2gxb_reconfig_hgm -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_2 -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_2 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_12_alt_dprio_2vj -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_12_alt_dprio_2vj -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_12_mux_o7a -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_12_mux_o7a -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_12_alt2gxb_reconfig_5lm -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_12_alt2gxb_reconfig_5lm -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91_12 -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v91_12 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v91 -# -- Compiling architecture str of ip_stratixiv_gxb_reconfig_v91 -# -- Loading entity ip_stratixiv_gxb_reconfig_v91_2 -# -- Loading entity ip_stratixiv_gxb_reconfig_v91_4 -# -- Loading entity ip_stratixiv_gxb_reconfig_v91_8 -# -- Loading entity ip_stratixiv_gxb_reconfig_v91_12 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v111_4_alt_dprio_2vj -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v111_4_alt_dprio_2vj -# -- Compiling entity ip_stratixiv_gxb_reconfig_v111_4_alt2gxb_reconfig_5vv -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v111_4_alt2gxb_reconfig_5vv -# -- Compiling entity ip_stratixiv_gxb_reconfig_v111_4 -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v111_4 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v111_16_alt_dprio_2vj -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v111_16_alt_dprio_2vj -# -- Compiling entity ip_stratixiv_gxb_reconfig_v111_16_mux_76a -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v111_16_mux_76a -# -- Compiling entity ip_stratixiv_gxb_reconfig_v111_16_alt2gxb_reconfig_9im -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v111_16_alt2gxb_reconfig_9im -# -- Compiling entity ip_stratixiv_gxb_reconfig_v111_16 -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v111_16 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_gxb_reconfig_v111 -# -- Compiling architecture str of ip_stratixiv_gxb_reconfig_v111 -# -- Loading entity ip_stratixiv_gxb_reconfig_v111_4 -# -- Loading entity ip_stratixiv_gxb_reconfig_v111_16 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_8_alt_dprio_2vj -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_8_alt_dprio_2vj -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_8_mux_46a -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_8_mux_46a -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_8_alt2gxb_reconfig_hgm -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_8_alt2gxb_reconfig_hgm -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_8 -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_8 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_4_alt_dprio_2vj -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_4_alt_dprio_2vj -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_4_alt2gxb_reconfig_dgm -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_4_alt2gxb_reconfig_dgm -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_4 -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_4 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_12_alt_dprio_2vj -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_12_alt_dprio_2vj -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_12_mux_66a -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_12_mux_66a -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_12_alt2gxb_reconfig_shm -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_12_alt2gxb_reconfig_shm -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101_12 -# -- Compiling architecture rtl of ip_stratixiv_gxb_reconfig_v101_12 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling entity ip_stratixiv_gxb_reconfig_v101 -# -- Compiling architecture str of ip_stratixiv_gxb_reconfig_v101 -# -- Loading entity ip_stratixiv_gxb_reconfig_v101_4 -# -- Loading entity ip_stratixiv_gxb_reconfig_v101_8 -# -- Loading entity ip_stratixiv_gxb_reconfig_v101_12 -# [mk compile tech_transceiver] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_transceiver -# Compile of sim_transceiver_serializer.vhd was successful. -# Compile of sim_transceiver_deserializer.vhd was successful. -# Compile of sim_transceiver_gx.vhd was successful. -# Compile of tech_transceiver_component_pkg.vhd was successful. -# Compile of tech_transceiver_rx_order.vhd was successful. -# Compile of tech_transceiver_rx_align.vhd was successful. -# Compile of tech_transceiver_rx_rst.vhd was successful. -# Compile of tech_transceiver_tx_align.vhd was successful. -# Compile of tech_transceiver_tx_rst.vhd was successful. -# Compile of tech_transceiver_gx_stratixiv.vhd was successful. -# Compile of tech_transceiver_gx.vhd was successful. -# Compile of tech_transceiver_arria10_48.vhd was successful. -# Compile of tb_sim_transceiver_serdes.vhd was successful. -# 13 compiles, 0 failed with no errors. -# [mk vmake tech_transceiver] -# -# [mk make tech_transceiver] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tech_transceiver_tx_rst -# -- Compiling architecture rtl of tech_transceiver_tx_rst -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tech_transceiver_tx_align -# -- Compiling architecture rtl of tech_transceiver_tx_align -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tech_transceiver_rx_rst -# -- Compiling architecture rtl of tech_transceiver_rx_rst -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tech_transceiver_rx_order -# -- Compiling architecture rtl of tech_transceiver_rx_order -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tech_transceiver_rx_align -# -- Compiling architecture rtl of tech_transceiver_rx_align -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling package tech_transceiver_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package tech_transceiver_component_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tech_transceiver_gx_stratix_iv -# -- Compiling architecture str of tech_transceiver_gx_stratix_iv -# -- Loading entity common_areset -# -- Loading entity tech_transceiver_tx_rst -# -- Loading entity tech_transceiver_tx_align -# -- Loading entity tech_transceiver_rx_rst -# -- Loading entity tech_transceiver_rx_align -# -- Loading entity tech_transceiver_rx_order -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package tech_transceiver_component_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tech_transceiver_gx -# -- Compiling architecture str of tech_transceiver_gx -# -- Loading entity tech_transceiver_gx_stratix_iv -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_unsigned -# -- Compiling entity tech_transceiver_arria10_48 -# -- Compiling architecture str of tech_transceiver_arria10_48 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity sim_transceiver_deserializer -# -- Compiling architecture beh of sim_transceiver_deserializer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity sim_transceiver_serializer -# -- Compiling architecture beh of sim_transceiver_serializer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_sim_transceiver_serdes -# -- Compiling architecture tb of tb_sim_transceiver_serdes -# -- Loading entity sim_transceiver_serializer -# -- Loading entity sim_transceiver_deserializer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity sim_transceiver_gx -# -- Compiling architecture str of sim_transceiver_gx -# -- Loading entity common_areset -# -- Loading entity sim_transceiver_serializer -# -- Loading entity sim_transceiver_deserializer -# [mk compile tr_nonbonded] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tr_nonbonded -# Compile of tr_nonbonded.vhd was successful. -# Compile of tr_nonbonded_reg.vhd was successful. -# Compile of mms_tr_nonbonded.vhd was successful. -# Compile of tb_tr_nonbonded.vhd was successful. -# Compile of tb_tb_tr_nonbonded.vhd was successful. -# 5 compiles, 0 failed with no errors. -# [mk vmake tr_nonbonded] -# -# [mk make tr_nonbonded] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package diag_pkg -# -- Compiling entity tr_nonbonded_reg -# -- Compiling architecture rtl of tr_nonbonded_reg -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tr_nonbonded -# -- Compiling architecture str of tr_nonbonded -# -- Loading package tech_transceiver_component_pkg -# -- Loading entity tech_transceiver_gx -# -- Loading entity sim_transceiver_gx -# -- Loading entity dp_fifo_dc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tb_tr_nonbonded -# -- Compiling architecture str of tb_tr_nonbonded -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity tr_nonbonded -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diagnostics -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tb_tb_tr_nonbonded -# -- Compiling architecture tb of tb_tb_tr_nonbonded -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading entity tb_tr_nonbonded -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity mms_tr_nonbonded -# -- Compiling architecture str of mms_tr_nonbonded -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity tr_nonbonded -# -- Loading package diag_pkg -# -- Loading entity tr_nonbonded_reg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity mms_diagnostics -# -- Loading entity dp_mux -# -- Loading entity dp_demux -# -- Loading entity mms_diag_data_buffer -# [mk compile ip_stratixiv_tse_sgmii_lvds] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_tse_sgmii_lvds -# Compile of ip_stratixiv_tse_sgmii_lvds.vho was successful with warnings. -# Compile of tb_ip_stratixiv_tse_sgmii_lvds.vhd was successful. -# 2 compiles, 0 failed with no errors. -# [mk vmake ip_stratixiv_tse_sgmii_lvds] -# -# [mk make ip_stratixiv_tse_sgmii_lvds] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Loading package sgate_pack -# -- Compiling entity ip_stratixiv_tse_sgmii_lvds -# ** Warning: [13] /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/tse_sgmii_lvds/ip_stratixiv_tse_sgmii_lvds.vho(81): (vcom-2051) synthesis_off/translate_off is still in effect at the end of design-unit. -# -- Compiling architecture rtl of ip_stratixiv_tse_sgmii_lvds -# ** Warning: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/tse_sgmii_lvds/ip_stratixiv_tse_sgmii_lvds.vho(11663): Duplicate signals in sensitivity list. -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_ip_stratixiv_tse_sgmii_lvds -# -- Compiling architecture tb of tb_ip_stratixiv_tse_sgmii_lvds -# -- Loading package altera_mf_components -# -- Loading package sgate_pack -# -- Loading entity ip_stratixiv_tse_sgmii_lvds -# [mk compile ip_stratixiv_tse_sgmii_gx] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_tse_sgmii_gx -# Compile of ip_stratixiv_tse_sgmii_gx.vho was successful with warnings. -# [mk vmake ip_stratixiv_tse_sgmii_gx] -# -# [mk make ip_stratixiv_tse_sgmii_gx] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Loading package sgate_pack -# -- Loading package vital_timing -# -- Loading package vital_primitives -# -- Loading package stratixiv_hssi_components -# -- Compiling entity ip_stratixiv_tse_sgmii_gx -# ** Warning: [13] /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/tse_sgmii_gx/ip_stratixiv_tse_sgmii_gx.vho(88): (vcom-2051) synthesis_off/translate_off is still in effect at the end of design-unit. -# -- Compiling architecture rtl of ip_stratixiv_tse_sgmii_gx -# ** Warning: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/tse_sgmii_gx/ip_stratixiv_tse_sgmii_gx.vho(9621): Duplicate signals in sensitivity list. -# [mk compile tech_tse] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_tse -# Compile of tech_tse_component_pkg.vhd was successful. -# Compile of tech_tse_pkg.vhd was successful. -# Compile of tech_tse_stratixiv.vhd was successful. -# Compile of tech_tse_arria10.vhd was successful. -# Compile of tech_tse.vhd was successful. -# Compile of tb_tech_tse_pkg.vhd was successful. -# Compile of tb_tech_tse.vhd was successful. -# 7 compiles, 0 failed with no errors. -# [mk vmake tech_tse] -# -# [mk make tech_tse] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package tech_tse_pkg -# -- Compiling package body tech_tse_pkg -# -- Loading package tech_tse_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling package tech_tse_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package tech_tse_component_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package tech_tse_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tech_tse_stratixiv -# -- Compiling architecture str of tech_tse_stratixiv -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package tech_tse_component_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package tech_tse_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tech_tse_arria10 -# -- Compiling architecture str of tech_tse_arria10 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Compiling entity tech_tse -# -- Compiling architecture str of tech_tse -# -- Loading package tech_tse_component_pkg -# -- Loading entity tech_tse_stratixiv -# -- Loading entity tech_tse_arria10 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading package technology_pkg -# -- Loading package tech_tse_pkg -# -- Compiling package tb_tech_tse_pkg -# -- Compiling package body tb_tech_tse_pkg -# -- Loading package tb_tech_tse_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_tse_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tb_tech_tse_pkg -# -- Compiling entity tb_tech_tse -# -- Compiling architecture tb of tb_tech_tse -# -- Loading entity tech_tse -# [mk compile eth] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project eth -# Compile of eth_pkg.vhd was successful. -# Compile of eth_checksum.vhd was successful. -# Compile of eth_hdr_store.vhd was successful. -# Compile of eth_hdr_status.vhd was successful. -# Compile of eth_hdr_ctrl.vhd was successful. -# Compile of eth_hdr.vhd was successful. -# Compile of eth_crc_ctrl.vhd was successful. -# Compile of eth_crc_word.vhd was successful. -# Compile of eth_mm_registers.vhd was successful. -# Compile of eth_mm_reg_frame.vhd was successful. -# Compile of eth_udp_channel.vhd was successful. -# Compile of eth_buffer.vhd was successful. -# Compile of eth_control.vhd was successful. -# Compile of eth_ihl_to_20.vhd was successful. -# Compile of eth.vhd was successful. -# Compile of tb_eth_checksum.vhd was successful. -# Compile of tb_eth_crc_ctrl.vhd was successful. -# Compile of tb_eth_hdr.vhd was successful. -# Compile of tb_eth.vhd was successful. -# Compile of tb_tb_eth.vhd was successful. -# Compile of tb_eth_udp_offload.vhd was successful. -# Compile of tb_eth_ihl_to_20.vhd was successful. -# Compile of tb_tb_tb_eth_regression.vhd was successful. -# 23 compiles, 0 failed with no errors. -# [mk vmake eth] -# -# [mk make eth] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Compiling package eth_pkg -# -- Compiling package body eth_pkg -# -- Loading package eth_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_ihl_to_20 -# -- Compiling architecture rtl of eth_ihl_to_20 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity tb_eth_ihl_to_20 -# -- Compiling architecture tb of tb_eth_ihl_to_20 -# -- Loading entity eth_ihl_to_20 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_control -# -- Compiling architecture rtl of eth_control -# -- Loading entity dp_latency_adapter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_mm_reg_frame -# -- Compiling architecture str of eth_mm_reg_frame -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_crc_word -# -- Compiling architecture rtl of eth_crc_word -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_buffer -# -- Compiling architecture str of eth_buffer -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_frame_rd -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_udp_channel -# -- Compiling architecture rtl of eth_udp_channel -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_checksum -# -- Compiling architecture rtl of eth_checksum -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_hdr_status -# -- Compiling architecture rtl of eth_hdr_status -# -- Loading entity eth_checksum -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_hdr_store -# -- Compiling architecture rtl of eth_hdr_store -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_hdr_ctrl -# -- Compiling architecture rtl of eth_hdr_ctrl -# -- Loading entity dp_hold_input -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_hdr -# -- Compiling architecture str of eth_hdr -# -- Loading entity eth_hdr_ctrl -# -- Loading entity eth_hdr_store -# -- Loading entity eth_hdr_status -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_crc_ctrl -# -- Compiling architecture rtl of eth_crc_ctrl -# -- Loading entity dp_eop_extend -# -- Loading entity dp_shiftreg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity eth_mm_registers -# -- Compiling architecture str of eth_mm_registers -# -- Loading entity common_reg_r_w -# -- Loading entity common_spulse -# -- Loading entity common_reg_cross_domain -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity eth -# -- Compiling architecture str of eth -# -- Loading entity eth_mm_registers -# -- Loading entity common_ram_crw_crw -# -- Loading entity dp_latency_adapter -# -- Loading entity eth_crc_ctrl -# -- Loading entity eth_ihl_to_20 -# -- Loading entity eth_hdr -# -- Loading entity eth_udp_channel -# -- Loading entity dp_demux -# -- Loading entity eth_buffer -# -- Loading entity eth_crc_word -# -- Loading entity eth_mm_reg_frame -# -- Loading entity eth_control -# -- Loading entity dp_mux -# -- Loading entity tech_tse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Loading package technology_pkg -# -- Loading package tech_tse_pkg -# -- Loading package tb_tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity tb_eth_udp_offload -# -- Compiling architecture tb of tb_eth_udp_offload -# -- Loading package technology_select_pkg -# -- Loading entity eth -# -- Loading entity dp_hdr_insert -# -- Loading package dp_packet_pkg -# -- Loading entity dp_packet_enc -# -- Loading entity dp_frame_remove -# -- Loading entity dp_packet_dec -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity tb_eth_crc_ctrl -# -- Compiling architecture tb of tb_eth_crc_ctrl -# -- Loading entity eth_crc_ctrl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity tb_eth_checksum -# -- Compiling architecture tb of tb_eth_checksum -# -- Loading entity eth_checksum -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity tb_eth_hdr -# -- Compiling architecture tb of tb_eth_hdr -# -- Loading package common_network_total_header_pkg -# -- Loading entity eth_hdr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_tse_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tb_tech_tse_pkg -# -- Loading package eth_pkg -# -- Compiling entity tb_eth -# -- Compiling architecture tb of tb_eth -# -- Loading entity eth -# -- Loading entity tech_tse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tech_tse_pkg -# -- Loading package tb_tech_tse_pkg -# -- Compiling entity tb_tb_eth -# -- Compiling architecture tb of tb_tb_eth -# -- Loading package eth_pkg -# -- Loading entity tb_eth -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_tb_eth_regression -# -- Compiling architecture tb of tb_tb_tb_eth_regression -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tech_tse_pkg -# -- Loading package tb_tech_tse_pkg -# -- Loading entity tb_tb_eth -# -- Loading package eth_pkg -# -- Loading entity tb_eth_hdr -# -- Loading entity tb_eth_checksum -# -- Loading entity tb_eth_crc_ctrl -# -- Loading package common_str_pkg -# -- Loading entity tb_eth_udp_offload -# -- Loading entity tb_eth_ihl_to_20 -# [mk compile numonyx_m25p128] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project numonyx_m25p128 -# Compile of StringLib.vhd was successful. -# Compile of def.vhd was successful. -# Compile of CUIcommandData.vhd was successful. -# Compile of data.vhd was successful. -# Compile of BlockLib.vhd was successful. -# Compile of TimingData.vhd was successful. -# Compile of MemoryLib.vhd was successful. -# Compile of M25P128.vhd was successful with warnings. -# 8 compiles, 0 failed with no errors. -# [mk vmake numonyx_m25p128] -# -# [mk make numonyx_m25p128] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package def -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package def -# -- Compiling package timingdata -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Compiling package stringlib -# -- Compiling package body stringlib -# -- Loading package stringlib -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package cuicommanddata -# -- Compiling package body cuicommanddata -# -- Loading package cuicommanddata -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package def -# -- Loading package cuicommanddata -# -- Compiling package data -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package std_logic_arith -# -- Loading package def -# -- Loading package cuicommanddata -# -- Loading package data -# -- Loading package stringlib -# -- Compiling package memorylib -# -- Compiling package body memorylib -# -- Loading package memorylib -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package def -# -- Loading package cuicommanddata -# -- Loading package data -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package stringlib -# -- Compiling package blocklib -# -- Compiling package body blocklib -# -- Loading package blocklib -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package std_logic_arith -# -- Loading package std_logic_signed -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package stringlib -# -- Loading package def -# -- Loading package timingdata -# -- Loading package cuicommanddata -# -- Loading package data -# -- Compiling entity timingcheck_entity -# -- Compiling architecture behavior of timingcheck_entity -# -- Loading package blocklib -# -- Compiling entity blocklock_entity -# -- Compiling architecture behavior of blocklock_entity -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(210): (vcom-1236) Shared variables must be of a protected type. -# -- Loading package memorylib -# -- Compiling entity memory_entity -# -- Compiling architecture behavior of memory_entity -# -- Compiling entity program_entity -# -- Compiling architecture behavior of program_entity -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(566): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(567): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(568): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(569): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(570): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(571): (vcom-1236) Shared variables must be of a protected type. -# -- Compiling entity erase_entity -# -- Compiling architecture behavior of erase_entity -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(698): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(699): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(700): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(701): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(702): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(703): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(704): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(705): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(706): (vcom-1236) Shared variables must be of a protected type. -# -- Compiling entity statusregister_entity -# -- Compiling architecture behavior of statusregister_entity -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(854): (vcom-1236) Shared variables must be of a protected type. -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(855): (vcom-1236) Shared variables must be of a protected type. -# -- Compiling entity kernel_entity -# -- Compiling architecture behavior of kernel_entity -# -- Compiling entity cuidecoder_entity -# -- Compiling architecture behavior of cuidecoder_entity -# -- Compiling entity m25p128 -# -- Compiling architecture behavior of m25p128 -# ** Warning: /home/zanting/svn/UniBoard_FP7/UniBoard/trunk/Firmware/modules/Numonyx/NU_M25P128_V10/code/M25P128.vhd(1522): (vcom-1236) Shared variables must be of a protected type. -# [mk compile ip_stratixiv_flash] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_flash -# Compile of ip_stratixiv_asmi_parallel.vhd was successful. -# Compile of ip_stratixiv_remote_update.vhd was successful. -# 2 compiles, 0 failed with no errors. -# [mk vmake ip_stratixiv_flash] -# -# [mk make ip_stratixiv_flash] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_remote_update_rmtupdt_jol -# -- Compiling architecture rtl of ip_stratixiv_remote_update_rmtupdt_jol -# -- Compiling entity ip_stratixiv_remote_update -# -- Compiling architecture rtl of ip_stratixiv_remote_update -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_asmi_parallel_altasmi_parallel_15a2 -# -- Compiling architecture rtl of ip_stratixiv_asmi_parallel_altasmi_parallel_15a2 -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package std_logic_arith -# -- Loading package def -# -- Loading package cuicommanddata -# -- Loading package data -# -- Loading package timingdata -# -- Loading package stringlib -# -- Loading package blocklib -# -- Loading entity m25p128 -# -- Compiling entity ip_stratixiv_asmi_parallel -# -- Compiling architecture rtl of ip_stratixiv_asmi_parallel -# [mk compile tech_flash] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_flash -# Compile of tech_flash_component_pkg.vhd was successful. -# Compile of tech_flash_asmi_parallel.vhd was successful. -# Compile of tech_flash_remote_update.vhd was successful. -# 3 compiles, 0 failed with no errors. -# [mk vmake tech_flash] -# -# [mk make tech_flash] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling package tech_flash_component_pkg -# -- Compiling package body tech_flash_component_pkg -# -- Loading package tech_flash_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package tech_flash_component_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_flash_remote_update -# -- Compiling architecture str of tech_flash_remote_update -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package tech_flash_component_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_flash_asmi_parallel -# -- Compiling architecture str of tech_flash_asmi_parallel -# [mk compile remu] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project remu -# Compile of remu_reg.vhd was successful. -# Compile of mms_remu.vhd was successful. -# 2 compiles, 0 failed with no errors. -# [mk vmake remu] -# -# [mk make remu] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity remu_reg -# -- Compiling architecture rtl of remu_reg -# -- Loading entity common_spulse -# -- Loading entity common_async -# -- Loading entity common_reg_cross_domain -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_flash_component_pkg -# -- Compiling entity mms_remu -# -- Compiling architecture str of mms_remu -# -- Loading entity tech_flash_remote_update -# -- Loading entity remu_reg -# -- Loading entity common_areset -# [mk compile ip_stratixiv_pll] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_pll -# Compile of ip_stratixiv_pll_clk200.vhd was successful. -# Compile of ip_stratixiv_pll_clk200_p6.vhd was successful. -# 2 compiles, 0 failed with no errors. -# [mk vmake ip_stratixiv_pll] -# -# [mk make ip_stratixiv_pll] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_pll_clk200_p6 -# -- Compiling architecture syn of ip_stratixiv_pll_clk200_p6 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_pll_clk200 -# -- Compiling architecture syn of ip_stratixiv_pll_clk200 -# [mk compile ip_stratixiv_pll_clk25] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_pll_clk25 -# Compile of ip_stratixiv_pll_clk25.vhd was successful. -# [mk vmake ip_stratixiv_pll_clk25] -# -# [mk make ip_stratixiv_pll_clk25] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity ip_stratixiv_pll_clk25 -# -- Compiling architecture syn of ip_stratixiv_pll_clk25 -# [mk compile tech_pll] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_pll -# Compile of tech_pll_component_pkg.vhd was successful. -# Compile of tech_pll_clk200.vhd was successful. -# Compile of tech_pll_clk200_p6.vhd was successful. -# Compile of tech_pll_xgmii_mac_clocks.vhd was successful. -# Compile of tech_pll_clk25.vhd was successful. -# Compile of tech_pll_clk125.vhd was successful. -# 6 compiles, 0 failed with no errors. -# [mk vmake tech_pll] -# -# [mk make tech_pll] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package tech_pll_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_pll_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Compiling entity tech_pll_xgmii_mac_clocks -# -- Compiling architecture str of tech_pll_xgmii_mac_clocks -# -- Loading entity common_areset -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_pll_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_pll_clk25 -# -- Compiling architecture str of tech_pll_clk25 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_pll_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_pll_clk200_p6 -# -- Compiling architecture str of tech_pll_clk200_p6 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_pll_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_pll_clk200 -# -- Compiling architecture str of tech_pll_clk200 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package tech_pll_component_pkg -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_pll_clk125 -# -- Compiling architecture str of tech_pll_clk125 -# [mk compile epcs] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project epcs -# Compile of epcs_reg.vhd was successful. -# Compile of mms_epcs.vhd was successful. -# Compile of tb_mms_epcs.vhd was successful. -# 3 compiles, 0 failed with no errors. -# [mk vmake epcs] -# -# [mk make epcs] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity epcs_reg -# -- Compiling architecture rtl of epcs_reg -# -- Loading entity common_spulse -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_flash_component_pkg -# -- Compiling entity mms_epcs -# -- Compiling architecture str of mms_epcs -# -- Loading entity epcs_reg -# -- Loading entity dp_fifo_dc_mixed_widths -# -- Loading entity tech_flash_asmi_parallel -# -- Loading entity mms_dp_fifo_to_mm -# -- Loading entity mms_dp_fifo_from_mm -# -- Loading entity common_areset -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Compiling entity tb_mms_epcs -# -- Compiling architecture str of tb_mms_epcs -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_flash_component_pkg -# -- Loading entity mms_epcs -# [mk compile unb1_board] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project unb1_board -# Compile of unb1_board_pkg.vhd was successful. -# Compile of unb1_board_system_info.vhd was successful. -# Compile of unb1_board_system_info_reg.vhd was successful. -# Compile of mms_unb1_board_system_info.vhd was successful. -# Compile of unb1_board_clk_rst.vhd was successful. -# Compile of unb1_board_clk200_pll.vhd was successful. -# Compile of unb1_board_clk25_pll.vhd was successful. -# Compile of unb1_board_wdi_extend.vhd was successful. -# Compile of unb1_board_node_ctrl.vhd was successful. -# Compile of unb1_board_sens_ctrl.vhd was successful. -# Compile of unb1_board_sens.vhd was successful. -# Compile of unb1_board_sens_reg.vhd was successful. -# Compile of mms_unb1_board_sens.vhd was successful. -# Compile of unb1_board_wdi_reg.vhd was successful. -# Compile of ctrl_unb1_board.vhd was successful. -# Compile of unb1_board_front_io.vhd was successful. -# Compile of unb1_board_mesh_io.vhd was successful. -# Compile of unb1_board_mesh_reorder_tx.vhd was successful. -# Compile of unb1_board_mesh_reorder_rx.vhd was successful. -# Compile of unb1_board_mesh_reorder_bidir.vhd was successful. -# Compile of unb1_board_mesh_uth_terminals_bidir.vhd was successful. -# Compile of unb1_board_back_io.vhd was successful. -# Compile of unb1_board_back_select.vhd was successful. -# Compile of unb1_board_back_reorder.vhd was successful. -# Compile of unb1_board_back_uth_terminals_bidir.vhd was successful. -# Compile of unb1_board_terminals_mesh.vhd was successful. -# Compile of unb1_board_terminals_back.vhd was successful. -# Compile of unb1_board_peripherals_pkg.vhd was successful. -# Compile of node_unb1_fn_terminal_db.vhd was successful. -# Compile of tb_unb1_board_pkg.vhd was successful. -# Compile of tb_mms_unb1_board_sens.vhd was successful. -# Compile of tb_unb1_board_clk200_pll.vhd was successful. -# Compile of tb_unb1_board_node_ctrl.vhd was successful. -# Compile of unb1_board_mesh_model_sosi.vhd was successful. -# Compile of unb1_board_mesh_model_siso.vhd was successful. -# Compile of unb1_board_mesh_model_sl.vhd was successful. -# Compile of unb1_board_back_model_sosi.vhd was successful. -# Compile of unb1_board_back_model_sl.vhd was successful. -# Compile of tb_unb1_board_mesh_reorder_bidir.vhd was successful. -# Compile of tb_tb_tb_unb1_board_regression.vhd was successful. -# 40 compiles, 0 failed with no errors. -# [mk vmake unb1_board] -# -# [mk make unb1_board] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity unb1_board_wdi_reg -# -- Compiling architecture rtl of unb1_board_wdi_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity unb1_board_wdi_extend -# -- Compiling architecture str of unb1_board_wdi_extend -# -- Loading entity common_evt -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling package unb1_board_pkg -# -- Compiling package body unb1_board_pkg -# -- Loading package unb1_board_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_mesh_reorder_rx -# -- Compiling architecture rtl of unb1_board_mesh_reorder_rx -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_mesh_reorder_tx -# -- Compiling architecture rtl of unb1_board_mesh_reorder_tx -# -- Loading entity common_pipeline -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package dp_packet_pkg -# -- Loading package unb1_board_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Compiling entity unb1_board_mesh_uth_terminals_bidir -# -- Compiling architecture str of unb1_board_mesh_uth_terminals_bidir -# -- Loading entity uth_terminal_bidir -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package dp_packet_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Compiling entity unb1_board_terminals_mesh -# -- Compiling architecture str of unb1_board_terminals_mesh -# -- Loading package diag_pkg -# -- Loading entity mms_diag_data_buffer -# -- Loading entity unb1_board_mesh_uth_terminals_bidir -# -- Loading entity unb1_board_mesh_reorder_tx -# -- Loading entity unb1_board_mesh_reorder_rx -# -- Loading entity mms_tr_nonbonded -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package dp_packet_pkg -# -- Loading package unb1_board_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Compiling entity unb1_board_back_uth_terminals_bidir -# -- Compiling architecture str of unb1_board_back_uth_terminals_bidir -# -- Loading entity uth_terminal_bidir -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_back_reorder -# -- Compiling architecture rtl of unb1_board_back_reorder -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_back_select -# -- Compiling architecture rtl of unb1_board_back_select -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package dp_packet_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Compiling entity unb1_board_terminals_back -# -- Compiling architecture str of unb1_board_terminals_back -# -- Loading entity unb1_board_back_select -# -- Loading entity unb1_board_back_reorder -# -- Loading entity unb1_board_back_uth_terminals_bidir -# -- Loading entity mms_tr_nonbonded -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_system_info_reg -# -- Compiling architecture rtl of unb1_board_system_info_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_system_info -# -- Compiling architecture str of unb1_board_system_info -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity unb1_board_sens_reg -# -- Compiling architecture rtl of unb1_board_sens_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_dev_max1617_pkg -# -- Loading package i2c_dev_ltc4260_pkg -# -- Compiling entity unb1_board_sens_ctrl -# -- Compiling architecture rtl of unb1_board_sens_ctrl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package i2c_pkg -# -- Compiling entity unb1_board_sens -# -- Compiling architecture str of unb1_board_sens -# -- Loading package i2c_smbus_pkg -# -- Loading package i2c_dev_max1617_pkg -# -- Loading package i2c_dev_ltc4260_pkg -# -- Loading entity unb1_board_sens_ctrl -# -- Loading package std_logic_arith -# -- Loading entity i2c_smbus -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package unb1_board_peripherals_pkg -# -- Compiling package body unb1_board_peripherals_pkg -# -- Loading package unb1_board_peripherals_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity unb1_board_clk_rst -# -- Compiling architecture str of unb1_board_clk_rst -# -- Loading entity common_areset -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity unb1_board_node_ctrl -# -- Compiling architecture str of unb1_board_node_ctrl -# -- Loading entity unb1_board_clk_rst -# -- Loading entity common_areset -# -- Loading entity common_pulser_us_ms_s -# -- Loading entity unb1_board_wdi_extend -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_mesh_reorder_bidir -# -- Compiling architecture str of unb1_board_mesh_reorder_bidir -# -- Loading entity unb1_board_mesh_reorder_tx -# -- Loading entity unb1_board_mesh_reorder_rx -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_mesh_model_sosi -# -- Compiling architecture beh of unb1_board_mesh_model_sosi -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling package tb_unb1_board_pkg -# -- Compiling package body tb_unb1_board_pkg -# -- Loading package tb_unb1_board_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package tb_unb1_board_pkg -# -- Compiling entity unb1_board_mesh_model_sl -# -- Compiling architecture beh of unb1_board_mesh_model_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_mesh_model_siso -# -- Compiling architecture beh of unb1_board_mesh_model_siso -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_mesh_io -# -- Compiling architecture str of unb1_board_mesh_io -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_front_io -# -- Compiling architecture str of unb1_board_front_io -# -- Loading entity common_inout -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package technology_pkg -# -- Compiling entity unb1_board_clk25_pll -# -- Compiling architecture stratixiv of unb1_board_clk25_pll -# -- Loading package tech_pll_component_pkg -# -- Loading package technology_select_pkg -# -- Loading entity tech_pll_clk25 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package technology_pkg -# -- Compiling entity unb1_board_clk200_pll -# -- Compiling architecture stratix4 of unb1_board_clk200_pll -# -- Loading package tech_pll_component_pkg -# -- Loading package technology_select_pkg -# -- Loading entity tech_pll_clk200 -# -- Loading entity tech_pll_clk200_p6 -# -- Loading entity common_areset -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package tb_unb1_board_pkg -# -- Compiling entity unb1_board_back_model_sosi -# -- Compiling architecture beh of unb1_board_back_model_sosi -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package tb_unb1_board_pkg -# -- Compiling entity unb1_board_back_model_sl -# -- Compiling architecture beh of unb1_board_back_model_sl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity unb1_board_back_io -# -- Compiling architecture str of unb1_board_back_io -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_unb1_board_node_ctrl -# -- Compiling architecture tb of tb_unb1_board_node_ctrl -# -- Loading entity unb1_board_node_ctrl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package tb_unb1_board_pkg -# -- Compiling entity tb_unb1_board_mesh_reorder_bidir -# -- Compiling architecture tb of tb_unb1_board_mesh_reorder_bidir -# -- Loading entity unb1_board_mesh_reorder_bidir -# -- Loading entity unb1_board_mesh_model_sl -# -- Loading entity unb1_board_mesh_model_sosi -# -- Loading entity unb1_board_mesh_model_siso -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling entity tb_unb1_board_clk200_pll -# -- Compiling architecture tb of tb_unb1_board_clk200_pll -# -- Loading package technology_pkg -# -- Loading entity unb1_board_clk200_pll -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity mms_unb1_board_sens -# -- Compiling architecture str of mms_unb1_board_sens -# -- Loading entity unb1_board_sens_reg -# -- Loading package i2c_pkg -# -- Loading entity unb1_board_sens -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Compiling entity tb_mms_unb1_board_sens -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Compiling architecture tb of tb_mms_unb1_board_sens -# -- Loading entity mms_unb1_board_sens -# -- Loading package i2c_dev_max1617_pkg -# -- Loading entity dev_max1618 -# -- Loading package i2c_dev_ltc4260_pkg -# -- Loading entity dev_ltc4260 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_tb_unb1_board_regression -# -- Compiling architecture tb of tb_tb_tb_unb1_board_regression -# -- Loading entity tb_mms_unb1_board_sens -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package tb_unb1_board_pkg -# -- Loading entity tb_unb1_board_mesh_reorder_bidir -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package unb1_board_peripherals_pkg -# -- Compiling entity node_unb1_fn_terminal_db -# -- Compiling architecture str of node_unb1_fn_terminal_db -# -- Loading package dp_packet_pkg -# -- Loading package pck_crc8_d8 -# -- Loading package pck_crc16_d16 -# -- Loading package pck_crc32_d32 -# -- Loading package pck_crc64_d64 -# -- Loading package uth_pkg -# -- Loading entity unb1_board_terminals_mesh -# -- Loading entity dp_bsn_align -# -- Loading entity mms_dp_bsn_monitor -# -- Loading package diag_pkg -# -- Loading entity mms_diag_data_buffer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Compiling entity mms_unb1_board_system_info -# -- Compiling architecture str of mms_unb1_board_system_info -# -- Loading entity unb1_board_system_info -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading entity unb1_board_system_info_reg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_rom -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package i2c_pkg -# -- Loading package technology_pkg -# -- Loading package tech_tse_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package eth_pkg -# -- Compiling entity ctrl_unb1_board -# -- Compiling architecture str of ctrl_unb1_board -# -- Loading entity unb1_board_clk200_pll -# -- Loading entity unb1_board_clk25_pll -# -- Loading entity unb1_board_node_ctrl -# -- Loading entity mms_unb1_board_system_info -# -- Loading entity common_toggle -# -- Loading entity unb1_board_wdi_reg -# -- Loading package technology_select_pkg -# -- Loading package tech_flash_component_pkg -# -- Loading entity mms_remu -# -- Loading entity mms_epcs -# -- Loading entity mms_ppsh -# -- Loading entity mms_unb1_board_sens -# -- Loading package common_network_total_header_pkg -# -- Loading entity eth -# [mk compile ip_stratixiv_mac_10g] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_mac_10g -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/mac_10g/compile_ip.tcl -# [mk execute ip_stratixiv_mac_10g] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/mac_10g/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/mac_10g/generated/ip_stratixiv_mac_10g_sim -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Compiling entity altera_avalon_st_handshake_clock_crosser_0001 -# -- Compiling architecture rtl of altera_avalon_st_handshake_clock_crosser_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_multiplexer_0003 -# -- Compiling architecture rtl of altera_merlin_multiplexer_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0006 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0006 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0005 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_multiplexer_0002 -# -- Compiling architecture rtl of altera_merlin_multiplexer_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0004 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0003 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_multiplexer_0001 -# -- Compiling architecture rtl of altera_merlin_multiplexer_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0002 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0001 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_reset_controller_0001 -# -- Compiling architecture rtl of altera_reset_controller_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_traffic_limiter_0003 -# -- Compiling architecture rtl of altera_merlin_traffic_limiter_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_traffic_limiter_0002 -# -- Compiling architecture rtl of altera_merlin_traffic_limiter_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_traffic_limiter_0001 -# -- Compiling architecture rtl of altera_merlin_traffic_limiter_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0006 -# -- Compiling architecture rtl of altera_merlin_router_0006 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0005 -# -- Compiling architecture rtl of altera_merlin_router_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0004 -# -- Compiling architecture rtl of altera_merlin_router_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0003 -# -- Compiling architecture rtl of altera_merlin_router_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0002 -# -- Compiling architecture rtl of altera_merlin_router_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0001 -# -- Compiling architecture rtl of altera_merlin_router_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0017 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0017 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_agent_0003 -# -- Compiling architecture rtl of altera_merlin_master_agent_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0016 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0016 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0015 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0015 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0014 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0014 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0013 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0013 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0012 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0012 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0011 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0011 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0010 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0010 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0009 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0009 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0008 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0008 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0007 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0007 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_agent_0002 -# -- Compiling architecture rtl of altera_merlin_master_agent_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0006 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0006 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0005 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_sc_fifo_0004 -# -- Compiling architecture rtl of altera_avalon_sc_fifo_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0004 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_sc_fifo_0003 -# -- Compiling architecture rtl of altera_avalon_sc_fifo_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0003 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0002 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_sc_fifo_0002 -# -- Compiling architecture rtl of altera_avalon_sc_fifo_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_sc_fifo_0001 -# -- Compiling architecture rtl of altera_avalon_sc_fifo_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0001 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_agent_0001 -# -- Compiling architecture rtl of altera_merlin_master_agent_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0007 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0007 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0006 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0006 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0005 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0004 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0003 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0002 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_translator_0003 -# -- Compiling architecture rtl of altera_merlin_master_translator_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0001 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_translator_0002 -# -- Compiling architecture rtl of altera_merlin_master_translator_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0011 -# -- Compiling architecture rtl of timing_adapter_0011 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Loading package sgate_pack -# -- Compiling entity altera_avalon_dc_fifo_0002 -# -- Compiling architecture rtl of altera_avalon_dc_fifo_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0010 -# -- Compiling architecture rtl of timing_adapter_0010 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Loading package sgate_pack -# -- Compiling entity altera_avalon_dc_fifo_0001 -# -- Compiling architecture rtl of altera_avalon_dc_fifo_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0009 -# -- Compiling architecture rtl of timing_adapter_0009 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0005 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0008 -# -- Compiling architecture rtl of timing_adapter_0008 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_delay_0002 -# -- Compiling architecture rtl of altera_avalon_st_delay_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity error_adapter_0003 -# -- Compiling architecture rtl of error_adapter_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_delay_0001 -# -- Compiling architecture rtl of altera_avalon_st_delay_0001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_st_pipeline_stage -# -# Top level modules: -# altera_avalon_st_pipeline_stage -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_st_pipeline_base -# -# Top level modules: -# altera_avalon_st_pipeline_base -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0007 -# -- Compiling architecture rtl of timing_adapter_0007 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0004 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0006 -# -- Compiling architecture rtl of timing_adapter_0006 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0005 -# -- Compiling architecture rtl of timing_adapter_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0003 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0004 -# -- Compiling architecture rtl of timing_adapter_0004 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0002 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0003 -# -- Compiling architecture rtl of timing_adapter_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0002 -# -- Compiling architecture rtl of timing_adapter_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity error_adapter_0002 -# -- Compiling architecture rtl of error_adapter_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_st_pipeline_stage -# -# Top level modules: -# altera_avalon_st_pipeline_stage -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_st_pipeline_base -# -# Top level modules: -# altera_avalon_st_pipeline_base -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0001 -# -- Compiling architecture rtl of timing_adapter_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0001 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_pipeline_stage_0001 -# -- Compiling architecture rtl of altera_avalon_st_pipeline_stage_0001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity multiplexer_0001 -# -- Compiling architecture rtl of multiplexer_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity error_adapter_0001 -# -- Compiling architecture rtl of error_adapter_0001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_mm_bridge_0001 -# -- Compiling architecture rtl of altera_avalon_mm_bridge_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_translator_0001 -# -- Compiling architecture rtl of altera_merlin_master_translator_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g -# -- Compiling architecture rtl of ip_stratixiv_mac_10g -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_tx_eth_pkt_backpressure_control -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_tx_eth_pkt_backpressure_control -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_rx_eth_pkt_backpressure_control -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_rx_eth_pkt_backpressure_control -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_tx_eth_frame_decoder -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_tx_eth_frame_decoder -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_rx_eth_frame_decoder -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_rx_eth_frame_decoder -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_tx_eth_crc_inserter -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_tx_eth_crc_inserter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_rx_eth_crc_checker -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_rx_eth_crc_checker -# Compile of ip_stratixiv_mac_10g.vhd was successful. -# [mk vmake ip_stratixiv_mac_10g] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/mac_10g/compile_ip.tcl -# [mk execute ip_stratixiv_mac_10g] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/mac_10g/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/mac_10g/generated/ip_stratixiv_mac_10g_sim -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Compiling entity altera_avalon_st_handshake_clock_crosser_0001 -# -- Compiling architecture rtl of altera_avalon_st_handshake_clock_crosser_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_multiplexer_0003 -# -- Compiling architecture rtl of altera_merlin_multiplexer_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0006 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0006 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0005 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_multiplexer_0002 -# -- Compiling architecture rtl of altera_merlin_multiplexer_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0004 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0003 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_multiplexer_0001 -# -- Compiling architecture rtl of altera_merlin_multiplexer_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0002 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_demultiplexer_0001 -# -- Compiling architecture rtl of altera_merlin_demultiplexer_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_reset_controller_0001 -# -- Compiling architecture rtl of altera_reset_controller_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_traffic_limiter_0003 -# -- Compiling architecture rtl of altera_merlin_traffic_limiter_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_traffic_limiter_0002 -# -- Compiling architecture rtl of altera_merlin_traffic_limiter_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_traffic_limiter_0001 -# -- Compiling architecture rtl of altera_merlin_traffic_limiter_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0006 -# -- Compiling architecture rtl of altera_merlin_router_0006 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0005 -# -- Compiling architecture rtl of altera_merlin_router_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0004 -# -- Compiling architecture rtl of altera_merlin_router_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0003 -# -- Compiling architecture rtl of altera_merlin_router_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0002 -# -- Compiling architecture rtl of altera_merlin_router_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_router_0001 -# -- Compiling architecture rtl of altera_merlin_router_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0017 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0017 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_agent_0003 -# -- Compiling architecture rtl of altera_merlin_master_agent_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0016 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0016 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0015 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0015 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0014 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0014 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0013 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0013 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0012 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0012 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0011 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0011 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0010 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0010 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0009 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0009 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0008 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0008 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0007 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0007 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_agent_0002 -# -- Compiling architecture rtl of altera_merlin_master_agent_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0006 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0006 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0005 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_sc_fifo_0004 -# -- Compiling architecture rtl of altera_avalon_sc_fifo_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0004 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_sc_fifo_0003 -# -- Compiling architecture rtl of altera_avalon_sc_fifo_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0003 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0002 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_sc_fifo_0002 -# -- Compiling architecture rtl of altera_avalon_sc_fifo_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_sc_fifo_0001 -# -- Compiling architecture rtl of altera_avalon_sc_fifo_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity altera_merlin_slave_agent_0001 -# -- Compiling architecture rtl of altera_merlin_slave_agent_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_agent_0001 -# -- Compiling architecture rtl of altera_merlin_master_agent_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0007 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0007 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0006 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0006 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0005 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0004 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0003 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0002 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_translator_0003 -# -- Compiling architecture rtl of altera_merlin_master_translator_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_slave_translator_0001 -# -- Compiling architecture rtl of altera_merlin_slave_translator_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_translator_0002 -# -- Compiling architecture rtl of altera_merlin_master_translator_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0011 -# -- Compiling architecture rtl of timing_adapter_0011 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Loading package sgate_pack -# -- Compiling entity altera_avalon_dc_fifo_0002 -# -- Compiling architecture rtl of altera_avalon_dc_fifo_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0010 -# -- Compiling architecture rtl of timing_adapter_0010 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package altera_mf_components -# -- Loading package sgate_pack -# -- Compiling entity altera_avalon_dc_fifo_0001 -# -- Compiling architecture rtl of altera_avalon_dc_fifo_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0009 -# -- Compiling architecture rtl of timing_adapter_0009 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0005 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0008 -# -- Compiling architecture rtl of timing_adapter_0008 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_delay_0002 -# -- Compiling architecture rtl of altera_avalon_st_delay_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity error_adapter_0003 -# -- Compiling architecture rtl of error_adapter_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_delay_0001 -# -- Compiling architecture rtl of altera_avalon_st_delay_0001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_st_pipeline_stage -# -# Top level modules: -# altera_avalon_st_pipeline_stage -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_st_pipeline_base -# -# Top level modules: -# altera_avalon_st_pipeline_base -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0007 -# -- Compiling architecture rtl of timing_adapter_0007 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0004 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0004 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0006 -# -- Compiling architecture rtl of timing_adapter_0006 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0005 -# -- Compiling architecture rtl of timing_adapter_0005 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0003 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0004 -# -- Compiling architecture rtl of timing_adapter_0004 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0002 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0003 -# -- Compiling architecture rtl of timing_adapter_0003 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0002 -# -- Compiling architecture rtl of timing_adapter_0002 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity error_adapter_0002 -# -- Compiling architecture rtl of error_adapter_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_st_pipeline_stage -# -# Top level modules: -# altera_avalon_st_pipeline_stage -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_st_pipeline_base -# -# Top level modules: -# altera_avalon_st_pipeline_base -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity timing_adapter_0001 -# -- Compiling architecture rtl of timing_adapter_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_splitter_0001 -# -- Compiling architecture rtl of altera_avalon_st_splitter_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_st_pipeline_stage_0001 -# -- Compiling architecture rtl of altera_avalon_st_pipeline_stage_0001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package sgate_pack -# -- Compiling entity multiplexer_0001 -# -- Compiling architecture rtl of multiplexer_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity error_adapter_0001 -# -- Compiling architecture rtl of error_adapter_0001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_avalon_mm_bridge_0001 -# -- Compiling architecture rtl of altera_avalon_mm_bridge_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity altera_merlin_master_translator_0001 -# -- Compiling architecture rtl of altera_merlin_master_translator_0001 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g -# -- Compiling architecture rtl of ip_stratixiv_mac_10g -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_tx_eth_pkt_backpressure_control -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_tx_eth_pkt_backpressure_control -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_rx_eth_pkt_backpressure_control -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_rx_eth_pkt_backpressure_control -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_tx_eth_frame_decoder -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_tx_eth_frame_decoder -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_rx_eth_frame_decoder -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_rx_eth_frame_decoder -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_tx_eth_crc_inserter -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_tx_eth_crc_inserter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_mac_10g_rx_eth_crc_checker -# -- Compiling architecture rtl of ip_stratixiv_mac_10g_rx_eth_crc_checker -# [mk make ip_stratixiv_mac_10g] -# -# [mk compile tech_mac_10g] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_mac_10g -# Compile of tech_mac_10g_component_pkg.vhd was successful. -# Compile of tech_mac_10g_stratixiv.vhd was successful. -# Compile of tech_mac_10g_arria10.vhd was successful. -# Compile of tech_mac_10g.vhd was successful. -# Compile of tb_tech_mac_10g_pkg.vhd was successful. -# Compile of tb_tech_mac_10g_setup.vhd was successful. -# Compile of tb_tech_mac_10g_transmitter.vhd was successful. -# Compile of tb_tech_mac_10g_receiver.vhd was successful. -# Compile of tb_tech_mac_10g_link_connect.vhd was successful. -# Compile of tb_tech_mac_10g_verify_rx_at_eop.vhd was successful. -# Compile of tb_tech_mac_10g_verify_rx_pkt_cnt.vhd was successful. -# Compile of tb_tech_mac_10g_simulation_end.vhd was successful. -# Compile of tb_tech_mac_10g.vhd was successful. -# Compile of tb_tb_tech_mac_10g.vhd was successful. -# 14 compiles, 0 failed with no errors. -# [mk vmake tech_mac_10g] -# -# [mk make tech_mac_10g] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package technology_pkg -# -- Compiling package tech_mac_10g_component_pkg -# -- Compiling package body tech_mac_10g_component_pkg -# -- Loading package tech_mac_10g_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Compiling entity tech_mac_10g_stratixiv -# -- Compiling architecture str of tech_mac_10g_stratixiv -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Compiling entity tech_mac_10g_arria10 -# -- Compiling architecture str of tech_mac_10g_arria10 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Compiling entity tech_mac_10g -# -- Compiling architecture str of tech_mac_10g -# -- Loading entity tech_mac_10g_stratixiv -# -- Loading entity tech_mac_10g_arria10 -# -- Loading entity dp_pad_remove -# -- Loading entity dp_latency_adapter -# -- Loading entity dp_latency_fifo -# -- Loading entity dp_pad_insert -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tb_tech_mac_10g_verify_rx_pkt_cnt -# -- Compiling architecture tb of tb_tech_mac_10g_verify_rx_pkt_cnt -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package technology_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Compiling package tb_tech_mac_10g_pkg -# -- Compiling package body tb_tech_mac_10g_pkg -# -- Loading package tb_tech_mac_10g_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package technology_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Compiling entity tb_tech_mac_10g_transmitter -# -- Compiling architecture tb of tb_tech_mac_10g_transmitter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Compiling entity tb_tech_mac_10g_simulation_end -# -- Compiling architecture tb of tb_tech_mac_10g_simulation_end -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Compiling entity tb_tech_mac_10g_setup -# -- Compiling architecture tb of tb_tech_mac_10g_setup -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Compiling entity tb_tech_mac_10g_link_connect -# -- Compiling architecture tb of tb_tech_mac_10g_link_connect -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package technology_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Compiling entity tb_tech_mac_10_verify_rx_at_eop -# -- Compiling architecture tb of tb_tech_mac_10_verify_rx_at_eop -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package technology_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Compiling entity tb_tech_mac_10_receiver -# -- Compiling architecture tb of tb_tech_mac_10_receiver -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Compiling entity tb_tech_mac_10g -# -- Compiling architecture tb of tb_tech_mac_10g -# -- Loading entity tb_tech_mac_10g_setup -# -- Loading entity tb_tech_mac_10g_transmitter -# -- Loading entity tech_mac_10g -# -- Loading entity tb_tech_mac_10g_link_connect -# -- Loading entity tb_tech_mac_10_receiver -# -- Loading entity tb_tech_mac_10_verify_rx_at_eop -# -- Loading entity tb_tech_mac_10g_verify_rx_pkt_cnt -# -- Loading entity tb_tech_mac_10g_simulation_end -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Compiling entity tb_tb_tech_mac_10g -# -- Compiling architecture tb of tb_tb_tech_mac_10g -# -- Loading entity tb_tech_mac_10g -# [mk compile tech_10gbase_r] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_10gbase_r -# Compile of sim_10gbase_r.vhd was successful. -# Compile of tech_10gbase_r_component_pkg.vhd was successful. -# Compile of tech_10gbase_r_arria10.vhd was successful. -# Compile of tech_10gbase_r.vhd was successful. -# Compile of tb_tech_10gbase_r.vhd was successful. -# 5 compiles, 0 failed with no errors. -# [mk vmake tech_10gbase_r] -# -# [mk make tech_10gbase_r] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package tech_10gbase_r_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package tech_pll_component_pkg -# -- Loading package tech_10gbase_r_component_pkg -# -- Compiling entity tech_10gbase_r_arria10 -# -- Compiling architecture str of tech_10gbase_r_arria10 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package tech_pll_component_pkg -# -- Compiling entity sim_10gbase_r -# -- Compiling architecture str of sim_10gbase_r -# -- Loading entity common_areset -# -- Loading entity sim_transceiver_serializer -# -- Loading entity sim_transceiver_deserializer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Compiling entity tech_10gbase_r -# -- Compiling architecture str of tech_10gbase_r -# -- Loading package tech_pll_component_pkg -# -- Loading package tech_10gbase_r_component_pkg -# -- Loading entity tech_10gbase_r_arria10 -# -- Loading entity sim_10gbase_r -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_pll_component_pkg -# -- Compiling entity tb_tech_10gbase_r -# -- Compiling architecture tb of tb_tech_10gbase_r -# -- Loading entity tech_pll_xgmii_mac_clocks -# -- Loading entity tech_10gbase_r -# [mk compile ip_stratixiv_phy_xaui] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_phy_xaui -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/compile_ip.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/compile_ip_soft.tcl -# [mk execute ip_stratixiv_phy_xaui] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package altera_xcvr_functions -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package altera_xcvr_xaui_sv_unit -# -- Compiling module altera_xcvr_xaui -# -# Top level modules: -# altera_xcvr_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package hxaui_csr_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module hxaui_csr -# -- Importing package hxaui_csr_h -# -# Top level modules: -# hxaui_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec_phyreconfig -# -# Top level modules: -# alt_xcvr_mgmt2dec_phyreconfig -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec_xaui -# -# Top level modules: -# alt_xcvr_mgmt2dec_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_pma_ch_controller_tgx -# -# Top level modules: -# alt_pma_ch_controller_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_pma_controller_tgx -# -# Top level modules: -# alt_pma_controller_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_reset_ctrl_lego -# -# Top level modules: -# alt_reset_ctrl_lego -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_reset_ctrl_tgx_cdrauto -# -# Top level modules: -# alt_reset_ctrl_tgx_cdrauto -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_resync -# -# Top level modules: -# alt_xcvr_resync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_csr_common_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_csr_common -# -- Importing package alt_xcvr_csr_common_h -# -# Top level modules: -# alt_xcvr_csr_common -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_csr_pcs8g_h -# -- Importing package alt_xcvr_csr_common_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_csr_pcs8g -# -- Importing package alt_xcvr_csr_common_h -# -- Importing package alt_xcvr_csr_pcs8g_h -# -# Top level modules: -# alt_xcvr_csr_pcs8g -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module csr_mux -# -- Compiling module csr_indexed_write_mux -# -- Compiling module csr_indexed_read_only_reg -# -# Top level modules: -# csr_indexed_write_mux -# csr_indexed_read_only_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec -# -# Top level modules: -# alt_xcvr_mgmt2dec -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_wait_generate -# -# Top level modules: -# altera_wait_generate -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module hxaui_alt4gxb_alt4gxb_dksa -# -- Compiling module hxaui_alt4gxb -# -# Top level modules: -# hxaui_alt4gxb -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module hxaui -# -# Top level modules: -# hxaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module siv_xcvr_xaui -# -# Top level modules: -# siv_xcvr_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_reconfig_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_siv -# -# Top level modules: -# alt_xcvr_reconfig_siv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_analog -# -# Top level modules: -# alt_xcvr_reconfig_analog -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_analog_tgx -# -# Top level modules: -# alt_xcvr_reconfig_analog_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_offset_cancellation -# -# Top level modules: -# alt_xcvr_reconfig_offset_cancellation -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_offset_cancellation_tgx -# -# Top level modules: -# alt_xcvr_reconfig_offset_cancellation_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_eyemon_tgx -# -# Top level modules: -# alt_xcvr_reconfig_eyemon_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_dfe_tgx -# -# Top level modules: -# alt_xcvr_reconfig_dfe_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_basic_tgx -# -# Top level modules: -# alt_xcvr_reconfig_basic_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mutex_acq -# -# Top level modules: -# alt_mutex_acq -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_dprio -# -# Top level modules: -# alt_dprio -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_arbiter -# -# Top level modules: -# alt_xcvr_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_m2s -# -# Top level modules: -# alt_xcvr_m2s -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/compile_ip_soft.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package altera_xcvr_functions -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_pma_functions -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package altera_xcvr_xaui_sv_unit -# -- Compiling module altera_xcvr_xaui -# -# Top level modules: -# altera_xcvr_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package hxaui_csr_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module hxaui_csr -# -- Importing package hxaui_csr_h -# -# Top level modules: -# hxaui_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec_phyreconfig -# -# Top level modules: -# alt_xcvr_mgmt2dec_phyreconfig -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec_xaui -# -# Top level modules: -# alt_xcvr_mgmt2dec_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_pma_ch_controller_tgx -# -# Top level modules: -# alt_pma_ch_controller_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_pma_controller_tgx -# -# Top level modules: -# alt_pma_controller_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_reset_ctrl_lego -# -# Top level modules: -# alt_reset_ctrl_lego -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_reset_ctrl_tgx_cdrauto -# -# Top level modules: -# alt_reset_ctrl_tgx_cdrauto -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_resync -# -# Top level modules: -# alt_xcvr_resync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_csr_common_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_csr_common -# -- Importing package alt_xcvr_csr_common_h -# -# Top level modules: -# alt_xcvr_csr_common -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_csr_pcs8g_h -# -- Importing package alt_xcvr_csr_common_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_csr_pcs8g -# -- Importing package alt_xcvr_csr_common_h -# -- Importing package alt_xcvr_csr_pcs8g_h -# -# Top level modules: -# alt_xcvr_csr_pcs8g -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module csr_mux -# -- Compiling module csr_indexed_write_mux -# -- Compiling module csr_indexed_read_only_reg -# -# Top level modules: -# csr_indexed_write_mux -# csr_indexed_read_only_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec -# -# Top level modules: -# alt_xcvr_mgmt2dec -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_wait_generate -# -# Top level modules: -# altera_wait_generate -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sxaui -# -# Top level modules: -# sxaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module siv_xcvr_low_latency_phy_nr -# -# Top level modules: -# siv_xcvr_low_latency_phy_nr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module siv_xcvr_xaui -# -# Top level modules: -# siv_xcvr_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt4gxb -# -# Top level modules: -# alt4gxb -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_reconfig_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_siv -# -# Top level modules: -# alt_xcvr_reconfig_siv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_analog -# -# Top level modules: -# alt_xcvr_reconfig_analog -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_analog_tgx -# -# Top level modules: -# alt_xcvr_reconfig_analog_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_offset_cancellation -# -# Top level modules: -# alt_xcvr_reconfig_offset_cancellation -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_offset_cancellation_tgx -# -# Top level modules: -# alt_xcvr_reconfig_offset_cancellation_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_eyemon_tgx -# -# Top level modules: -# alt_xcvr_reconfig_eyemon_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_dfe_tgx -# -# Top level modules: -# alt_xcvr_reconfig_dfe_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_basic_tgx -# -# Top level modules: -# alt_xcvr_reconfig_basic_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mutex_acq -# -# Top level modules: -# alt_mutex_acq -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_dprio -# -# Top level modules: -# alt_dprio -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_arbiter -# -# Top level modules: -# alt_xcvr_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_m2s -# -# Top level modules: -# alt_xcvr_m2s -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Compile of ip_stratixiv_phy_xaui_0.vhd was successful. -# Compile of ip_stratixiv_phy_xaui_1.vhd was successful. -# Compile of ip_stratixiv_phy_xaui_2.vhd was successful. -# Compile of ip_stratixiv_phy_xaui_soft.vhd was successful. -# Compile of tb_ip_stratixiv_phy_xaui.vhd was successful. -# Compile of tb_ip_stratixiv_phy_xaui_ppm.vhd was successful. -# 6 compiles, 0 failed with no errors. -# [mk vmake ip_stratixiv_phy_xaui] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/compile_ip.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/compile_ip_soft.tcl -# [mk execute ip_stratixiv_phy_xaui] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_0_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package altera_xcvr_functions -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package altera_xcvr_xaui_sv_unit -# -- Compiling module altera_xcvr_xaui -# -# Top level modules: -# altera_xcvr_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package hxaui_csr_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module hxaui_csr -# -- Importing package hxaui_csr_h -# -# Top level modules: -# hxaui_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec_phyreconfig -# -# Top level modules: -# alt_xcvr_mgmt2dec_phyreconfig -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec_xaui -# -# Top level modules: -# alt_xcvr_mgmt2dec_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_pma_ch_controller_tgx -# -# Top level modules: -# alt_pma_ch_controller_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_pma_controller_tgx -# -# Top level modules: -# alt_pma_controller_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_reset_ctrl_lego -# -# Top level modules: -# alt_reset_ctrl_lego -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_reset_ctrl_tgx_cdrauto -# -# Top level modules: -# alt_reset_ctrl_tgx_cdrauto -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_resync -# -# Top level modules: -# alt_xcvr_resync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_csr_common_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_csr_common -# -- Importing package alt_xcvr_csr_common_h -# -# Top level modules: -# alt_xcvr_csr_common -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_csr_pcs8g_h -# -- Importing package alt_xcvr_csr_common_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_csr_pcs8g -# -- Importing package alt_xcvr_csr_common_h -# -- Importing package alt_xcvr_csr_pcs8g_h -# -# Top level modules: -# alt_xcvr_csr_pcs8g -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module csr_mux -# -- Compiling module csr_indexed_write_mux -# -- Compiling module csr_indexed_read_only_reg -# -# Top level modules: -# csr_indexed_write_mux -# csr_indexed_read_only_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec -# -# Top level modules: -# alt_xcvr_mgmt2dec -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_wait_generate -# -# Top level modules: -# altera_wait_generate -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module hxaui_alt4gxb_alt4gxb_dksa -# -- Compiling module hxaui_alt4gxb -# -# Top level modules: -# hxaui_alt4gxb -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module hxaui -# -# Top level modules: -# hxaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module siv_xcvr_xaui -# -# Top level modules: -# siv_xcvr_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_reconfig_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_siv -# -# Top level modules: -# alt_xcvr_reconfig_siv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_analog -# -# Top level modules: -# alt_xcvr_reconfig_analog -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_analog_tgx -# -# Top level modules: -# alt_xcvr_reconfig_analog_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_offset_cancellation -# -# Top level modules: -# alt_xcvr_reconfig_offset_cancellation -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_offset_cancellation_tgx -# -# Top level modules: -# alt_xcvr_reconfig_offset_cancellation_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_eyemon_tgx -# -# Top level modules: -# alt_xcvr_reconfig_eyemon_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_dfe_tgx -# -# Top level modules: -# alt_xcvr_reconfig_dfe_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_basic_tgx -# -# Top level modules: -# alt_xcvr_reconfig_basic_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mutex_acq -# -# Top level modules: -# alt_mutex_acq -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_dprio -# -# Top level modules: -# alt_dprio -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_arbiter -# -# Top level modules: -# alt_xcvr_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_m2s -# -# Top level modules: -# alt_xcvr_m2s -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/compile_ip_soft.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/phy_xaui/generated/ip_stratixiv_phy_xaui_soft_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package altera_xcvr_functions -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_pma_functions -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package altera_xcvr_xaui_sv_unit -# -- Compiling module altera_xcvr_xaui -# -# Top level modules: -# altera_xcvr_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package hxaui_csr_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module hxaui_csr -# -- Importing package hxaui_csr_h -# -# Top level modules: -# hxaui_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec_phyreconfig -# -# Top level modules: -# alt_xcvr_mgmt2dec_phyreconfig -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec_xaui -# -# Top level modules: -# alt_xcvr_mgmt2dec_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_pma_ch_controller_tgx -# -# Top level modules: -# alt_pma_ch_controller_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_pma_controller_tgx -# -# Top level modules: -# alt_pma_controller_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_reset_ctrl_lego -# -# Top level modules: -# alt_reset_ctrl_lego -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_reset_ctrl_tgx_cdrauto -# -# Top level modules: -# alt_reset_ctrl_tgx_cdrauto -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_resync -# -# Top level modules: -# alt_xcvr_resync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_csr_common_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_csr_common -# -- Importing package alt_xcvr_csr_common_h -# -# Top level modules: -# alt_xcvr_csr_common -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_csr_pcs8g_h -# -- Importing package alt_xcvr_csr_common_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_csr_pcs8g -# -- Importing package alt_xcvr_csr_common_h -# -- Importing package alt_xcvr_csr_pcs8g_h -# -# Top level modules: -# alt_xcvr_csr_pcs8g -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module csr_mux -# -- Compiling module csr_indexed_write_mux -# -- Compiling module csr_indexed_read_only_reg -# -# Top level modules: -# csr_indexed_write_mux -# csr_indexed_read_only_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_mgmt2dec -# -# Top level modules: -# alt_xcvr_mgmt2dec -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_wait_generate -# -# Top level modules: -# altera_wait_generate -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sxaui -# -# Top level modules: -# sxaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module siv_xcvr_low_latency_phy_nr -# -# Top level modules: -# siv_xcvr_low_latency_phy_nr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module siv_xcvr_xaui -# -# Top level modules: -# siv_xcvr_xaui -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt4gxb -# -# Top level modules: -# alt4gxb -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling package alt_xcvr_reconfig_h -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# --none-- -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_siv -# -# Top level modules: -# alt_xcvr_reconfig_siv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_analog -# -# Top level modules: -# alt_xcvr_reconfig_analog -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_analog_tgx -# -# Top level modules: -# alt_xcvr_reconfig_analog_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_offset_cancellation -# -# Top level modules: -# alt_xcvr_reconfig_offset_cancellation -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_offset_cancellation_tgx -# -# Top level modules: -# alt_xcvr_reconfig_offset_cancellation_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_eyemon_tgx -# -# Top level modules: -# alt_xcvr_reconfig_eyemon_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_dfe_tgx -# -# Top level modules: -# alt_xcvr_reconfig_dfe_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_reconfig_basic_tgx -# -# Top level modules: -# alt_xcvr_reconfig_basic_tgx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mutex_acq -# -# Top level modules: -# alt_mutex_acq -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_dprio -# -# Top level modules: -# alt_dprio -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_arbiter -# -# Top level modules: -# alt_xcvr_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_xcvr_m2s -# -# Top level modules: -# alt_xcvr_m2s -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# [mk make ip_stratixiv_phy_xaui] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_phy_xaui_0 -# -- Compiling architecture rtl of ip_stratixiv_phy_xaui_0 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Compiling entity tb_ip_stratixiv_phy_xaui -# -- Compiling architecture str of tb_ip_stratixiv_phy_xaui -# -- Loading entity ip_stratixiv_phy_xaui_0 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Compiling entity tb_ip_stratixiv_phy_xaui_ppm -# -- Compiling architecture tb of tb_ip_stratixiv_phy_xaui_ppm -# -- Loading entity tb_ip_stratixiv_phy_xaui -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_phy_xaui_soft -# -- Compiling architecture rtl of ip_stratixiv_phy_xaui_soft -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_phy_xaui_2 -# -- Compiling architecture rtl of ip_stratixiv_phy_xaui_2 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity ip_stratixiv_phy_xaui_1 -# -- Compiling architecture rtl of ip_stratixiv_phy_xaui_1 -# [mk compile tech_xaui] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_xaui -# Compile of sim_xaui.vhd was successful. -# Compile of tech_xaui_component_pkg.vhd was successful. -# Compile of tech_xaui_align_dly.vhd was successful. -# Compile of tech_xaui_stratixiv.vhd was successful. -# Compile of tech_xaui.vhd was successful. -# 5 compiles, 0 failed with no errors. -# [mk vmake tech_xaui] -# -# [mk make tech_xaui] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Compiling entity tech_xaui_align_dly -# -- Compiling architecture rtl of tech_xaui_align_dly -# -- Loading entity common_debounce -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Compiling package tech_xaui_component_pkg -# -- Compiling package body tech_xaui_component_pkg -# -- Loading package tech_xaui_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package technology_pkg -# -- Loading package tech_xaui_component_pkg -# -- Compiling entity tech_xaui_stratixiv -# -- Compiling architecture str of tech_xaui_stratixiv -# -- Loading entity common_async -# -- Loading entity common_areset -# -- Loading entity tech_xaui_align_dly -# -- Loading entity common_mem_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Compiling entity sim_xaui -# -- Compiling architecture wrap of sim_xaui -# -- Loading entity common_areset -# -- Loading entity sim_transceiver_serializer -# -- Loading entity sim_transceiver_deserializer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Compiling entity tech_xaui -# -- Compiling architecture str of tech_xaui -# -- Loading package tech_xaui_component_pkg -# -- Loading entity tech_xaui_stratixiv -# -- Loading entity sim_xaui -# [mk compile tech_eth_10g] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_eth_10g -# Compile of tech_eth_10g_stratixiv.vhd was successful. -# Compile of tech_eth_10g_arria10.vhd was successful. -# Compile of tech_eth_10g_clocks.vhd was successful. -# Compile of tech_eth_10g.vhd was successful. -# Compile of tb_tech_eth_10g.vhd was successful. -# Compile of tb_tech_eth_10g_ppm.vhd was successful. -# Compile of tb_tb_tech_eth_10g.vhd was successful. -# 7 compiles, 0 failed with no errors. -# [mk vmake tech_eth_10g] -# -# [mk make tech_eth_10g] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Compiling entity tech_eth_10g_stratixiv -# -- Compiling architecture str of tech_eth_10g_stratixiv -# -- Loading package technology_select_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading entity tech_mac_10g -# -- Loading entity tech_xaui -# -- Loading entity common_mem_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tech_eth_10g_clocks -# -- Compiling architecture str of tech_eth_10g_clocks -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Compiling entity tech_eth_10g_arria10 -# -- Compiling architecture str of tech_eth_10g_arria10 -# -- Loading package technology_select_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading entity tech_mac_10g -# -- Loading entity tech_10gbase_r -# -- Loading entity common_reg_r_w_dc -# -- Loading entity common_mem_mux -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Compiling entity tech_eth_10g -# -- Compiling architecture str of tech_eth_10g -# -- Loading entity tech_eth_10g_stratixiv -# -- Loading entity tech_eth_10g_arria10 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Loading package tech_pll_component_pkg -# -- Compiling entity tb_tech_eth_10g -# -- Compiling architecture tb of tb_tech_eth_10g -# -- Loading entity tb_tech_mac_10g_setup -# -- Loading entity tb_tech_mac_10g_transmitter -# -- Loading entity tech_pll_xgmii_mac_clocks -# -- Loading entity tech_eth_10g_clocks -# -- Loading entity tech_eth_10g -# -- Loading entity tb_tech_mac_10g_link_connect -# -- Loading entity tb_tech_mac_10_receiver -# -- Loading entity tb_tech_mac_10_verify_rx_at_eop -# -- Loading entity tb_tech_mac_10g_verify_rx_pkt_cnt -# -- Loading entity tb_tech_mac_10g_simulation_end -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_pll_component_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Compiling entity tb_tech_eth_10g_ppm -# -- Compiling architecture tb of tb_tech_eth_10g_ppm -# -- Loading package common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Loading entity tb_tech_eth_10g -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Loading package tech_pll_component_pkg -# -- Compiling entity tb_tb_tech_eth_10g -# -- Compiling architecture tb of tb_tb_tech_eth_10g -# -- Loading entity tb_tech_eth_10g -# [mk compile mdio] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project mdio -# Compile of mdio_pkg.vhd was successful. -# Compile of mdio_mm.vhd was successful. -# Compile of mdio_ctlr.vhd was successful. -# Compile of mdio_phy_reg.vhd was successful. -# Compile of mdio_phy.vhd was successful. -# Compile of mdio_vitesse_vsc8486_pkg.vhd was successful. -# Compile of mdio.vhd was successful. -# Compile of avs_mdio.vhd was successful. -# Compile of mmd_slave.vhd was successful. -# Compile of tb_mdio.vhd was successful. -# Compile of tb_mdio_phy.vhd was successful. -# Compile of tb_mdio_phy_reg.vhd was successful. -# Compile of tb_mdio_phy_ctlr.vhd was successful. -# 13 compiles, 0 failed with no errors. -# [mk vmake mdio] -# -# [mk make mdio] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Compiling entity mmd_slave -# -- Compiling architecture beh of mmd_slave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package mdio_pkg -# -- Compiling package body mdio_pkg -# -- Loading package mdio_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package mdio_pkg -# -- Compiling entity mdio_phy -# -- Compiling architecture rtl of mdio_phy -# -- Loading entity common_evt -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package mdio_pkg -# -- Compiling entity mdio_phy_reg -# -- Compiling architecture rtl of mdio_phy_reg -# -- Loading entity common_reg_cross_domain -# -- Loading entity common_spulse -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package mdio_pkg -# -- Compiling entity tb_mdio_phy_reg -# -- Compiling architecture tb of tb_mdio_phy_reg -# -- Loading entity mdio_phy_reg -# -- Loading entity mdio_phy -# -- Loading entity common_inout -# -- Loading entity mmd_slave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package mdio_pkg -# -- Compiling entity mdio_ctlr -# -- Compiling architecture rtl of mdio_ctlr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package mdio_pkg -# -- Compiling entity tb_mdio_phy_ctlr -# -- Compiling architecture tb of tb_mdio_phy_ctlr -# -- Loading entity mdio_ctlr -# -- Loading entity mdio_phy -# -- Loading entity common_inout -# -- Loading entity mmd_slave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package mdio_pkg -# -- Compiling entity tb_mdio_phy -# -- Compiling architecture tb of tb_mdio_phy -# -- Loading entity mdio_phy -# -- Loading entity common_inout -# -- Loading entity mmd_slave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package mdio_pkg -# -- Compiling entity mdio_mm -# -- Compiling architecture str of mdio_mm -# -- Loading entity common_request -# -- Loading entity common_switch -# -- Loading entity common_reg_r_w -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package mdio_pkg -# -- Compiling entity mdio -# -- Compiling architecture str of mdio -# -- Loading package common_mem_pkg -# -- Loading entity mdio_mm -# -- Loading entity mdio_phy -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package mdio_pkg -# -- Compiling entity tb_mdio -# -- Compiling architecture tb of tb_mdio -# -- Loading entity mdio -# -- Loading entity common_inout -# -- Loading entity mmd_slave -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package mdio_pkg -# -- Compiling package mdio_vitesse_vsc8486_pkg -# -- Compiling package body mdio_vitesse_vsc8486_pkg -# -- Loading package mdio_vitesse_vsc8486_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package mdio_pkg -# -- Compiling entity avs_mdio -# -- Compiling architecture wrap of avs_mdio -# -- Loading entity mdio -# [mk compile tr_xaui] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tr_xaui -# Compile of tr_xaui_deframer.vhd was successful. -# Compile of tr_xaui_framer.vhd was successful. -# Compile of tr_xaui_mdio.vhd was successful. -# Compile of tr_xaui.vhd was successful. -# Compile of mms_tr_xaui.vhd was successful. -# Compile of tb_tr_xaui_deframer.vhd was successful. -# Compile of tb_tr_xaui_framer.vhd was successful. -# Compile of tb_tr_xaui.vhd was successful. -# Compile of tb_tb_tr_xaui.vhd was successful. -# 9 compiles, 0 failed with no errors. -# [mk vmake tr_xaui] -# -# [mk make tr_xaui] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package mdio_pkg -# -- Loading package mdio_vitesse_vsc8486_pkg -# -- Compiling entity tr_xaui_mdio -# -- Compiling architecture str of tr_xaui_mdio -# -- Loading entity mdio_phy -# -- Loading entity mdio_phy_reg -# -- Loading entity mdio_ctlr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tr_xaui_framer -# -- Compiling architecture rtl of tr_xaui_framer -# -- Loading entity dp_gap -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity tr_xaui_deframer -# -- Compiling architecture rtl of tr_xaui_deframer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package mdio_pkg -# -- Loading package mdio_vitesse_vsc8486_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tr_xaui -# -- Compiling architecture str of tr_xaui -# -- Loading entity tech_xaui -# -- Loading entity tr_xaui_framer -# -- Loading entity tr_xaui_deframer -# -- Loading entity tr_xaui_mdio -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tr_xaui_framer -# -- Compiling architecture tb of tb_tr_xaui_framer -# -- Loading entity dp_gap -# -- Loading entity tr_xaui_framer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_tr_xaui_deframer -# -- Compiling architecture tb of tb_tr_xaui_deframer -# -- Loading entity dp_gap -# -- Loading entity tr_xaui_framer -# -- Loading entity tr_xaui_deframer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Compiling entity tb_tr_xaui -# -- Compiling architecture str of tb_tr_xaui -# -- Loading package common_interface_layers_pkg -# -- Loading package mdio_pkg -# -- Loading package mdio_vitesse_vsc8486_pkg -# -- Loading package technology_select_pkg -# -- Loading entity tr_xaui -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diagnostics -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Compiling entity tb_tb_tr_xaui -# -- Compiling architecture tb of tb_tb_tr_xaui -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading entity tb_tr_xaui -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package mdio_pkg -# -- Loading package mdio_vitesse_vsc8486_pkg -# -- Compiling entity mms_tr_xaui -# -- Compiling architecture wrap of mms_tr_xaui -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity tr_xaui -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity mms_diagnostics -# -- Loading entity dp_mux -# -- Loading entity dp_demux -# [mk compile tr_10GbE] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tr_10GbE -# Compile of tr_10GbE.vhd was successful. -# Compile of tb_tr_10GbE.vhd was successful. -# Compile of tb_tb_tr_10GbE.vhd was successful. -# 3 compiles, 0 failed with no errors. -# [mk vmake tr_10GbE] -# -# [mk make tr_10GbE] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Compiling entity tr_10gbe -# -- Compiling architecture str of tr_10gbe -# -- Loading entity tech_eth_10g_clocks -# -- Loading entity dp_fifo_fill_dc -# -- Loading entity tech_eth_10g -# -- Loading package mdio_pkg -# -- Loading package mdio_vitesse_vsc8486_pkg -# -- Loading entity tr_xaui_mdio -# -- Loading entity dp_fifo_dc -# -- Loading entity dp_xonoff -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Loading package tech_pll_component_pkg -# -- Compiling entity tb_tr_10gbe -# -- Compiling architecture tb of tb_tr_10gbe -# -- Loading entity tech_pll_xgmii_mac_clocks -# -- Loading entity tb_tech_mac_10g_setup -# -- Loading entity tb_tech_mac_10g_transmitter -# -- Loading entity tr_10gbe -# -- Loading entity tb_tech_mac_10g_link_connect -# -- Loading entity tb_tech_mac_10_receiver -# -- Loading entity tb_tech_mac_10_verify_rx_at_eop -# -- Loading entity tb_tech_mac_10g_verify_rx_pkt_cnt -# -- Loading entity tb_tech_mac_10g_simulation_end -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading package tb_tech_mac_10g_pkg -# -- Loading package tech_pll_component_pkg -# -- Compiling entity tb_tb_tr_10gbe -# -- Compiling architecture tb of tb_tb_tr_10gbe -# -- Loading entity tb_tr_10gbe -# [mk compile ip_stratixiv_ddr3_uphy_4g_800_master] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_ddr3_uphy_4g_800_master -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/compile_ip.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# [mk execute ip_stratixiv_ddr3_uphy_4g_800_master] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_mm_st_converter -# -# Top level modules: -# alt_mem_ddrx_mm_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd_wrap -# -# Top level modules: -# alt_mem_ddrx_addr_cmd_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr2_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr2_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr3_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr3_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_lpddr2_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_lpddr2_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_odt_gen -# -# Top level modules: -# alt_mem_ddrx_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdwr_data_tmg -# -# Top level modules: -# alt_mem_ddrx_rdwr_data_tmg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_arbiter -# -# Top level modules: -# alt_mem_ddrx_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_gen -# -# Top level modules: -# alt_mem_ddrx_burst_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_cmd_gen -# -# Top level modules: -# alt_mem_ddrx_cmd_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_csr -# -# Top level modules: -# alt_mem_ddrx_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer -# -# Top level modules: -# alt_mem_ddrx_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer_manager -# -# Top level modules: -# alt_mem_ddrx_buffer_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_tracking -# -# Top level modules: -# alt_mem_ddrx_burst_tracking -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_dataid_manager -# -# Top level modules: -# alt_mem_ddrx_dataid_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_fifo -# -# Top level modules: -# alt_mem_ddrx_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_list -# -# Top level modules: -# alt_mem_ddrx_list -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdata_path -# -# Top level modules: -# alt_mem_ddrx_rdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_wdata_path -# -# Top level modules: -# alt_mem_ddrx_wdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_32_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_64_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_decoder_wrapper -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_axi_st_converter -# -# Top level modules: -# alt_mem_ddrx_axi_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_input_if -# -# Top level modules: -# alt_mem_ddrx_input_if -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rank_timer -# -# Top level modules: -# alt_mem_ddrx_rank_timer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_sideband -# -# Top level modules: -# alt_mem_ddrx_sideband -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_tbp -# -# Top level modules: -# alt_mem_ddrx_tbp -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_timing_param -# -# Top level modules: -# alt_mem_ddrx_timing_param -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller -# -# Top level modules: -# alt_mem_ddrx_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller_st_top -# -# Top level modules: -# alt_mem_ddrx_controller_st_top -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_nextgen_ddr3_controller_core -# -# Top level modules: -# alt_mem_if_nextgen_ddr3_controller_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_dll_stratixiv -# -# Top level modules: -# altera_mem_if_dll_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_oct_stratixiv -# -# Top level modules: -# altera_mem_if_oct_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_c0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_c0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram -# -# Top level modules: -# rw_manager_ram -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_sc_fifo -# -# Top level modules: -# altera_avalon_sc_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_translator -# -# Top level modules: -# altera_merlin_master_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_wrapper -# -# Top level modules: -# sequencer_scc_sv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_inst_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_synchronizer -# -# Top level modules: -# altera_reset_synchronizer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_write_decoder -# -# Top level modules: -# rw_manager_write_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_bitcheck -# -# Top level modules: -# rw_manager_bitcheck -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_read_datapath -# -# Top level modules: -# rw_manager_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_controller -# -# Top level modules: -# altera_reset_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ddr3 -# -# Top level modules: -# rw_manager_ddr3 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer_wrap -# -# Top level modules: -# rw_manager_di_buffer_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_jumplogic -# -# Top level modules: -# rw_manager_jumplogic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_reg -# -# Top level modules: -# rw_manager_ac_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_data_mgr -# -# Top level modules: -# sequencer_data_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_wrapper -# -# Top level modules: -# sequencer_scc_siii_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_agent -# -# Top level modules: -# altera_merlin_master_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_demux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_demux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_decoder -# -# Top level modules: -# rw_manager_data_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_phase_decode -# -# Top level modules: -# sequencer_scc_siii_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_mux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_mux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_demux_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_demux_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_pattern_fifo -# -# Top level modules: -# rw_manager_pattern_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_dm_decoder -# -# Top level modules: -# rw_manager_dm_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_agent -# -# Top level modules: -# altera_merlin_slave_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_phy_mgr -# -# Top level modules: -# sequencer_phy_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_phase_decode -# -# Top level modules: -# sequencer_scc_sv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_translator -# -# Top level modules: -# altera_merlin_slave_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_reg -# -# Top level modules: -# rw_manager_inst_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_reg_file -# -# Top level modules: -# sequencer_scc_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr12 -# -# Top level modules: -# rw_manager_lfsr12 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_rsp_xbar_demux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_rsp_xbar_demux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_ac_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr72 -# -# Top level modules: -# rw_manager_lfsr72 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_rsp_xbar_mux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_rsp_xbar_mux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_datamux -# -# Top level modules: -# rw_manager_datamux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_broadcast -# -# Top level modules: -# rw_manager_data_broadcast -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_mgr -# -# Top level modules: -# sequencer_scc_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram_csr -# -# Top level modules: -# rw_manager_ram_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr36 -# -# Top level modules: -# rw_manager_lfsr36 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_generic -# -# Top level modules: -# rw_manager_generic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router_001_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_core -# -# Top level modules: -# rw_manager_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_burst_uncompressor -# -# Top level modules: -# altera_merlin_burst_uncompressor -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_arbitrator -# -- Compiling module altera_merlin_arb_adder -# -# Top level modules: -# altera_merlin_arbitrator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_wrapper -# -# Top level modules: -# sequencer_scc_acv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_phase_decode -# -# Top level modules: -# sequencer_scc_acv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer -# -# Top level modules: -# rw_manager_di_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_mem_no_ifdef_params -# -# Top level modules: -# altera_mem_if_sequencer_mem_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router_003_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_reg_file -# -# Top level modules: -# sequencer_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_irq_mapper -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_irq_mapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module afi_mux_ddr3_ddrx -# -# Top level modules: -# afi_mux_ddr3_ddrx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_clock_pair_generator -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_clock_pair_generator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_read_valid_selector -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_read_valid_selector -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_reset -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_reset -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_acv_ldc -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_acv_ldc -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_ldc_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_ldc_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_ldc_pad -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module addr_cmd_non_ldc_pad -# -# Top level modules: -# addr_cmd_non_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_memphy -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_memphy -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_reset_sync -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_reset_sync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_new_io_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_new_io_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_fr_cycle_shifter -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_fr_cycle_shifter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_read_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_write_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_write_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_hr_to_fr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_hr_to_fr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_simple_ddio_out -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_simple_ddio_out -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_sequencer_mux_bridge -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_sequencer_mux_bridge -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_phy_csr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_phy_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_iss_probe -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_iss_probe -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_flop_mem -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_flop_mem -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_altdqdqs -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_altdqdqs -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_ddio_3reg_stratixiv -# -# Top level modules: -# altdq_dqs2_ddio_3reg_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_abstract -# -# Top level modules: -# altdq_dqs2_abstract -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_cal_delays -# -# Top level modules: -# altdq_dqs2_cal_delays -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_pll0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_pll0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_0002 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_sim -# [mk vmake ip_stratixiv_ddr3_uphy_4g_800_master] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/compile_ip.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# [mk execute ip_stratixiv_ddr3_uphy_4g_800_master] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_mm_st_converter -# -# Top level modules: -# alt_mem_ddrx_mm_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd_wrap -# -# Top level modules: -# alt_mem_ddrx_addr_cmd_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr2_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr2_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr3_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr3_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_lpddr2_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_lpddr2_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_odt_gen -# -# Top level modules: -# alt_mem_ddrx_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdwr_data_tmg -# -# Top level modules: -# alt_mem_ddrx_rdwr_data_tmg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_arbiter -# -# Top level modules: -# alt_mem_ddrx_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_gen -# -# Top level modules: -# alt_mem_ddrx_burst_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_cmd_gen -# -# Top level modules: -# alt_mem_ddrx_cmd_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_csr -# -# Top level modules: -# alt_mem_ddrx_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer -# -# Top level modules: -# alt_mem_ddrx_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer_manager -# -# Top level modules: -# alt_mem_ddrx_buffer_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_tracking -# -# Top level modules: -# alt_mem_ddrx_burst_tracking -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_dataid_manager -# -# Top level modules: -# alt_mem_ddrx_dataid_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_fifo -# -# Top level modules: -# alt_mem_ddrx_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_list -# -# Top level modules: -# alt_mem_ddrx_list -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdata_path -# -# Top level modules: -# alt_mem_ddrx_rdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_wdata_path -# -# Top level modules: -# alt_mem_ddrx_wdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_32_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_64_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_decoder_wrapper -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_axi_st_converter -# -# Top level modules: -# alt_mem_ddrx_axi_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_input_if -# -# Top level modules: -# alt_mem_ddrx_input_if -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rank_timer -# -# Top level modules: -# alt_mem_ddrx_rank_timer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_sideband -# -# Top level modules: -# alt_mem_ddrx_sideband -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_tbp -# -# Top level modules: -# alt_mem_ddrx_tbp -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_timing_param -# -# Top level modules: -# alt_mem_ddrx_timing_param -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller -# -# Top level modules: -# alt_mem_ddrx_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller_st_top -# -# Top level modules: -# alt_mem_ddrx_controller_st_top -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_nextgen_ddr3_controller_core -# -# Top level modules: -# alt_mem_if_nextgen_ddr3_controller_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_dll_stratixiv -# -# Top level modules: -# altera_mem_if_dll_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_oct_stratixiv -# -# Top level modules: -# altera_mem_if_oct_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_c0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_c0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram -# -# Top level modules: -# rw_manager_ram -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_sc_fifo -# -# Top level modules: -# altera_avalon_sc_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_translator -# -# Top level modules: -# altera_merlin_master_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_wrapper -# -# Top level modules: -# sequencer_scc_sv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_inst_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_synchronizer -# -# Top level modules: -# altera_reset_synchronizer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_write_decoder -# -# Top level modules: -# rw_manager_write_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_bitcheck -# -# Top level modules: -# rw_manager_bitcheck -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_read_datapath -# -# Top level modules: -# rw_manager_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_controller -# -# Top level modules: -# altera_reset_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ddr3 -# -# Top level modules: -# rw_manager_ddr3 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer_wrap -# -# Top level modules: -# rw_manager_di_buffer_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_jumplogic -# -# Top level modules: -# rw_manager_jumplogic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_reg -# -# Top level modules: -# rw_manager_ac_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_data_mgr -# -# Top level modules: -# sequencer_data_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_wrapper -# -# Top level modules: -# sequencer_scc_siii_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_agent -# -# Top level modules: -# altera_merlin_master_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_demux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_demux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_decoder -# -# Top level modules: -# rw_manager_data_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_phase_decode -# -# Top level modules: -# sequencer_scc_siii_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_mux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_mux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_demux_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_cmd_xbar_demux_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_pattern_fifo -# -# Top level modules: -# rw_manager_pattern_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_dm_decoder -# -# Top level modules: -# rw_manager_dm_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_agent -# -# Top level modules: -# altera_merlin_slave_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_phy_mgr -# -# Top level modules: -# sequencer_phy_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_phase_decode -# -# Top level modules: -# sequencer_scc_sv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_translator -# -# Top level modules: -# altera_merlin_slave_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_reg -# -# Top level modules: -# rw_manager_inst_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_reg_file -# -# Top level modules: -# sequencer_scc_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr12 -# -# Top level modules: -# rw_manager_lfsr12 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_rsp_xbar_demux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_rsp_xbar_demux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_ac_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr72 -# -# Top level modules: -# rw_manager_lfsr72 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_rsp_xbar_mux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_rsp_xbar_mux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_datamux -# -# Top level modules: -# rw_manager_datamux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_broadcast -# -# Top level modules: -# rw_manager_data_broadcast -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_mgr -# -# Top level modules: -# sequencer_scc_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram_csr -# -# Top level modules: -# rw_manager_ram_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr36 -# -# Top level modules: -# rw_manager_lfsr36 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_generic -# -# Top level modules: -# rw_manager_generic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router_001_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_addr_router_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_core -# -# Top level modules: -# rw_manager_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_burst_uncompressor -# -# Top level modules: -# altera_merlin_burst_uncompressor -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_arbitrator -# -- Compiling module altera_merlin_arb_adder -# -# Top level modules: -# altera_merlin_arbitrator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_wrapper -# -# Top level modules: -# sequencer_scc_acv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_phase_decode -# -# Top level modules: -# sequencer_scc_acv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer -# -# Top level modules: -# rw_manager_di_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_mem_no_ifdef_params -# -# Top level modules: -# altera_mem_if_sequencer_mem_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router_003_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_id_router_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_reg_file -# -# Top level modules: -# sequencer_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_s0_irq_mapper -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_s0_irq_mapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module afi_mux_ddr3_ddrx -# -# Top level modules: -# afi_mux_ddr3_ddrx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_clock_pair_generator -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_clock_pair_generator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_read_valid_selector -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_read_valid_selector -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_reset -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_reset -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_acv_ldc -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_acv_ldc -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_ldc_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_ldc_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_ldc_pad -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_addr_cmd_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module addr_cmd_non_ldc_pad -# -# Top level modules: -# addr_cmd_non_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_memphy -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_memphy -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_reset_sync -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_reset_sync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_new_io_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_new_io_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_fr_cycle_shifter -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_fr_cycle_shifter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_read_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_write_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_write_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_hr_to_fr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_hr_to_fr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_simple_ddio_out -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_simple_ddio_out -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_sequencer_mux_bridge -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_sequencer_mux_bridge -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_phy_csr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_phy_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_iss_probe -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_iss_probe -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_flop_mem -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_flop_mem -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_p0_altdqdqs -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_p0_altdqdqs -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_ddio_3reg_stratixiv -# -# Top level modules: -# altdq_dqs2_ddio_3reg_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_abstract -# -# Top level modules: -# altdq_dqs2_abstract -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_cal_delays -# -# Top level modules: -# altdq_dqs2_cal_delays -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_pll0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_pll0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master_0002 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_master -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_master -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_sim -# [mk make ip_stratixiv_ddr3_uphy_4g_800_master] -# -# [mk compile ip_stratixiv_ddr3_uphy_4g_800_slave] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_ddr3_uphy_4g_800_slave -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_slave/compile_ip.tcl -# [mk execute ip_stratixiv_ddr3_uphy_4g_800_slave] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_slave/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_800_slave_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_mm_st_converter -# -# Top level modules: -# alt_mem_ddrx_mm_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd_wrap -# -# Top level modules: -# alt_mem_ddrx_addr_cmd_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr2_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr2_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr3_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr3_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_lpddr2_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_lpddr2_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_odt_gen -# -# Top level modules: -# alt_mem_ddrx_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdwr_data_tmg -# -# Top level modules: -# alt_mem_ddrx_rdwr_data_tmg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_arbiter -# -# Top level modules: -# alt_mem_ddrx_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_gen -# -# Top level modules: -# alt_mem_ddrx_burst_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_cmd_gen -# -# Top level modules: -# alt_mem_ddrx_cmd_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_csr -# -# Top level modules: -# alt_mem_ddrx_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer -# -# Top level modules: -# alt_mem_ddrx_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer_manager -# -# Top level modules: -# alt_mem_ddrx_buffer_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_tracking -# -# Top level modules: -# alt_mem_ddrx_burst_tracking -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_dataid_manager -# -# Top level modules: -# alt_mem_ddrx_dataid_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_fifo -# -# Top level modules: -# alt_mem_ddrx_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_list -# -# Top level modules: -# alt_mem_ddrx_list -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdata_path -# -# Top level modules: -# alt_mem_ddrx_rdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_wdata_path -# -# Top level modules: -# alt_mem_ddrx_wdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_32_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_64_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_decoder_wrapper -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_axi_st_converter -# -# Top level modules: -# alt_mem_ddrx_axi_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_input_if -# -# Top level modules: -# alt_mem_ddrx_input_if -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rank_timer -# -# Top level modules: -# alt_mem_ddrx_rank_timer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_sideband -# -# Top level modules: -# alt_mem_ddrx_sideband -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_tbp -# -# Top level modules: -# alt_mem_ddrx_tbp -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_timing_param -# -# Top level modules: -# alt_mem_ddrx_timing_param -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller -# -# Top level modules: -# alt_mem_ddrx_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller_st_top -# -# Top level modules: -# alt_mem_ddrx_controller_st_top -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_nextgen_ddr3_controller_core -# -# Top level modules: -# alt_mem_if_nextgen_ddr3_controller_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_dll_stratixiv -# -# Top level modules: -# altera_mem_if_dll_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_c0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_c0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram -# -# Top level modules: -# rw_manager_ram -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_sc_fifo -# -# Top level modules: -# altera_avalon_sc_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_translator -# -# Top level modules: -# altera_merlin_master_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_wrapper -# -# Top level modules: -# sequencer_scc_sv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_rsp_xbar_mux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_rsp_xbar_mux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_inst_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_synchronizer -# -# Top level modules: -# altera_reset_synchronizer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_write_decoder -# -# Top level modules: -# rw_manager_write_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_bitcheck -# -# Top level modules: -# rw_manager_bitcheck -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_read_datapath -# -# Top level modules: -# rw_manager_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_controller -# -# Top level modules: -# altera_reset_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ddr3 -# -# Top level modules: -# rw_manager_ddr3 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer_wrap -# -# Top level modules: -# rw_manager_di_buffer_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_jumplogic -# -# Top level modules: -# rw_manager_jumplogic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_reg -# -# Top level modules: -# rw_manager_ac_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_data_mgr -# -# Top level modules: -# sequencer_data_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_wrapper -# -# Top level modules: -# sequencer_scc_siii_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_agent -# -# Top level modules: -# altera_merlin_master_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_decoder -# -# Top level modules: -# rw_manager_data_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_phase_decode -# -# Top level modules: -# sequencer_scc_siii_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_pattern_fifo -# -# Top level modules: -# rw_manager_pattern_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_dm_decoder -# -# Top level modules: -# rw_manager_dm_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_mux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_mux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_agent -# -# Top level modules: -# altera_merlin_slave_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_phy_mgr -# -# Top level modules: -# sequencer_phy_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_phase_decode -# -# Top level modules: -# sequencer_scc_sv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_demux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_demux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_translator -# -# Top level modules: -# altera_merlin_slave_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_reg -# -# Top level modules: -# rw_manager_inst_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_reg_file -# -# Top level modules: -# sequencer_scc_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr12 -# -# Top level modules: -# rw_manager_lfsr12 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_ac_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr72 -# -# Top level modules: -# rw_manager_lfsr72 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_demux_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_demux_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_datamux -# -# Top level modules: -# rw_manager_datamux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_broadcast -# -# Top level modules: -# rw_manager_data_broadcast -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_mgr -# -# Top level modules: -# sequencer_scc_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram_csr -# -# Top level modules: -# rw_manager_ram_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr36 -# -# Top level modules: -# rw_manager_lfsr36 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router_001_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_generic -# -# Top level modules: -# rw_manager_generic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router_003_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_core -# -# Top level modules: -# rw_manager_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_burst_uncompressor -# -# Top level modules: -# altera_merlin_burst_uncompressor -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_arbitrator -# -- Compiling module altera_merlin_arb_adder -# -# Top level modules: -# altera_merlin_arbitrator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_wrapper -# -# Top level modules: -# sequencer_scc_acv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_irq_mapper -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_irq_mapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_phase_decode -# -# Top level modules: -# sequencer_scc_acv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_rsp_xbar_demux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_rsp_xbar_demux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer -# -# Top level modules: -# rw_manager_di_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_mem_no_ifdef_params -# -# Top level modules: -# altera_mem_if_sequencer_mem_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_reg_file -# -# Top level modules: -# sequencer_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module afi_mux_ddr3_ddrx -# -# Top level modules: -# afi_mux_ddr3_ddrx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_clock_pair_generator -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_clock_pair_generator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_read_valid_selector -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_read_valid_selector -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_reset -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_reset -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_acv_ldc -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_acv_ldc -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_ldc_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_ldc_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_ldc_pad -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module addr_cmd_non_ldc_pad -# -# Top level modules: -# addr_cmd_non_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_memphy -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_memphy -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_reset_sync -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_reset_sync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_new_io_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_new_io_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_fr_cycle_shifter -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_fr_cycle_shifter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_read_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_write_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_write_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_hr_to_fr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_hr_to_fr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_simple_ddio_out -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_simple_ddio_out -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_sequencer_mux_bridge -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_sequencer_mux_bridge -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_phy_csr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_phy_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_iss_probe -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_iss_probe -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_flop_mem -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_flop_mem -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_altdqdqs -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_altdqdqs -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_ddio_3reg_stratixiv -# -# Top level modules: -# altdq_dqs2_ddio_3reg_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_abstract -# -# Top level modules: -# altdq_dqs2_abstract -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_cal_delays -# -# Top level modules: -# altdq_dqs2_cal_delays -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_pll0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_pll0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_0002 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave -# [mk vmake ip_stratixiv_ddr3_uphy_4g_800_slave] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_slave/compile_ip.tcl -# [mk execute ip_stratixiv_ddr3_uphy_4g_800_slave] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_slave/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_800_slave_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_mm_st_converter -# -# Top level modules: -# alt_mem_ddrx_mm_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd_wrap -# -# Top level modules: -# alt_mem_ddrx_addr_cmd_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr2_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr2_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr3_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr3_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_lpddr2_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_lpddr2_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_odt_gen -# -# Top level modules: -# alt_mem_ddrx_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdwr_data_tmg -# -# Top level modules: -# alt_mem_ddrx_rdwr_data_tmg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_arbiter -# -# Top level modules: -# alt_mem_ddrx_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_gen -# -# Top level modules: -# alt_mem_ddrx_burst_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_cmd_gen -# -# Top level modules: -# alt_mem_ddrx_cmd_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_csr -# -# Top level modules: -# alt_mem_ddrx_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer -# -# Top level modules: -# alt_mem_ddrx_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer_manager -# -# Top level modules: -# alt_mem_ddrx_buffer_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_tracking -# -# Top level modules: -# alt_mem_ddrx_burst_tracking -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_dataid_manager -# -# Top level modules: -# alt_mem_ddrx_dataid_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_fifo -# -# Top level modules: -# alt_mem_ddrx_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_list -# -# Top level modules: -# alt_mem_ddrx_list -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdata_path -# -# Top level modules: -# alt_mem_ddrx_rdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_wdata_path -# -# Top level modules: -# alt_mem_ddrx_wdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_32_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_64_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_decoder_wrapper -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_axi_st_converter -# -# Top level modules: -# alt_mem_ddrx_axi_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_input_if -# -# Top level modules: -# alt_mem_ddrx_input_if -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rank_timer -# -# Top level modules: -# alt_mem_ddrx_rank_timer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_sideband -# -# Top level modules: -# alt_mem_ddrx_sideband -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_tbp -# -# Top level modules: -# alt_mem_ddrx_tbp -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_timing_param -# -# Top level modules: -# alt_mem_ddrx_timing_param -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller -# -# Top level modules: -# alt_mem_ddrx_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller_st_top -# -# Top level modules: -# alt_mem_ddrx_controller_st_top -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_nextgen_ddr3_controller_core -# -# Top level modules: -# alt_mem_if_nextgen_ddr3_controller_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_dll_stratixiv -# -# Top level modules: -# altera_mem_if_dll_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_c0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_c0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram -# -# Top level modules: -# rw_manager_ram -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_sc_fifo -# -# Top level modules: -# altera_avalon_sc_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_translator -# -# Top level modules: -# altera_merlin_master_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_wrapper -# -# Top level modules: -# sequencer_scc_sv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_rsp_xbar_mux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_rsp_xbar_mux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_inst_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_synchronizer -# -# Top level modules: -# altera_reset_synchronizer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_write_decoder -# -# Top level modules: -# rw_manager_write_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_bitcheck -# -# Top level modules: -# rw_manager_bitcheck -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_read_datapath -# -# Top level modules: -# rw_manager_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_controller -# -# Top level modules: -# altera_reset_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ddr3 -# -# Top level modules: -# rw_manager_ddr3 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer_wrap -# -# Top level modules: -# rw_manager_di_buffer_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_jumplogic -# -# Top level modules: -# rw_manager_jumplogic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_reg -# -# Top level modules: -# rw_manager_ac_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_data_mgr -# -# Top level modules: -# sequencer_data_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_wrapper -# -# Top level modules: -# sequencer_scc_siii_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_agent -# -# Top level modules: -# altera_merlin_master_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_decoder -# -# Top level modules: -# rw_manager_data_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_phase_decode -# -# Top level modules: -# sequencer_scc_siii_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_pattern_fifo -# -# Top level modules: -# rw_manager_pattern_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_dm_decoder -# -# Top level modules: -# rw_manager_dm_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_mux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_mux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_agent -# -# Top level modules: -# altera_merlin_slave_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_phy_mgr -# -# Top level modules: -# sequencer_phy_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_phase_decode -# -# Top level modules: -# sequencer_scc_sv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_demux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_demux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_translator -# -# Top level modules: -# altera_merlin_slave_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_reg -# -# Top level modules: -# rw_manager_inst_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_reg_file -# -# Top level modules: -# sequencer_scc_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr12 -# -# Top level modules: -# rw_manager_lfsr12 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_ac_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr72 -# -# Top level modules: -# rw_manager_lfsr72 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_demux_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_cmd_xbar_demux_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_datamux -# -# Top level modules: -# rw_manager_datamux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_broadcast -# -# Top level modules: -# rw_manager_data_broadcast -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_mgr -# -# Top level modules: -# sequencer_scc_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram_csr -# -# Top level modules: -# rw_manager_ram_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr36 -# -# Top level modules: -# rw_manager_lfsr36 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router_001_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_addr_router_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_generic -# -# Top level modules: -# rw_manager_generic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router_003_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_core -# -# Top level modules: -# rw_manager_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_burst_uncompressor -# -# Top level modules: -# altera_merlin_burst_uncompressor -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_arbitrator -# -- Compiling module altera_merlin_arb_adder -# -# Top level modules: -# altera_merlin_arbitrator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_wrapper -# -# Top level modules: -# sequencer_scc_acv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_irq_mapper -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_irq_mapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_phase_decode -# -# Top level modules: -# sequencer_scc_acv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_rsp_xbar_demux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_rsp_xbar_demux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer -# -# Top level modules: -# rw_manager_di_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_s0_id_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_mem_no_ifdef_params -# -# Top level modules: -# altera_mem_if_sequencer_mem_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_reg_file -# -# Top level modules: -# sequencer_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module afi_mux_ddr3_ddrx -# -# Top level modules: -# afi_mux_ddr3_ddrx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_clock_pair_generator -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_clock_pair_generator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_read_valid_selector -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_read_valid_selector -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_reset -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_reset -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_acv_ldc -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_acv_ldc -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_ldc_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_ldc_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_ldc_pad -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_addr_cmd_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module addr_cmd_non_ldc_pad -# -# Top level modules: -# addr_cmd_non_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_memphy -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_memphy -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_reset_sync -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_reset_sync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_new_io_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_new_io_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_fr_cycle_shifter -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_fr_cycle_shifter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_read_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_write_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_write_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_hr_to_fr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_hr_to_fr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_simple_ddio_out -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_simple_ddio_out -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_sequencer_mux_bridge -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_sequencer_mux_bridge -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_phy_csr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_phy_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_iss_probe -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_iss_probe -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_flop_mem -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_flop_mem -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_p0_altdqdqs -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_p0_altdqdqs -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_ddio_3reg_stratixiv -# -# Top level modules: -# altdq_dqs2_ddio_3reg_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_abstract -# -# Top level modules: -# altdq_dqs2_abstract -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_cal_delays -# -# Top level modules: -# altdq_dqs2_cal_delays -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_pll0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_pll0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave_0002 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_800_slave -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_800_slave -# [mk make ip_stratixiv_ddr3_uphy_4g_800_slave] -# -# [mk compile ip_stratixiv_ddr3_uphy_4g_single_rank_800_master] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/compile_ip.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/copy_hex_files.tcl -# [mk execute ip_stratixiv_ddr3_uphy_4g_single_rank_800_master] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_mm_st_converter -# -# Top level modules: -# alt_mem_ddrx_mm_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd_wrap -# -# Top level modules: -# alt_mem_ddrx_addr_cmd_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr2_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr2_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr3_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr3_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_lpddr2_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_lpddr2_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_odt_gen -# -# Top level modules: -# alt_mem_ddrx_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdwr_data_tmg -# -# Top level modules: -# alt_mem_ddrx_rdwr_data_tmg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_arbiter -# -# Top level modules: -# alt_mem_ddrx_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_gen -# -# Top level modules: -# alt_mem_ddrx_burst_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_cmd_gen -# -# Top level modules: -# alt_mem_ddrx_cmd_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_csr -# -# Top level modules: -# alt_mem_ddrx_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer -# -# Top level modules: -# alt_mem_ddrx_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer_manager -# -# Top level modules: -# alt_mem_ddrx_buffer_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_tracking -# -# Top level modules: -# alt_mem_ddrx_burst_tracking -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_dataid_manager -# -# Top level modules: -# alt_mem_ddrx_dataid_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_fifo -# -# Top level modules: -# alt_mem_ddrx_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_list -# -# Top level modules: -# alt_mem_ddrx_list -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdata_path -# -# Top level modules: -# alt_mem_ddrx_rdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_wdata_path -# -# Top level modules: -# alt_mem_ddrx_wdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_32_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_64_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_decoder_wrapper -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_axi_st_converter -# -# Top level modules: -# alt_mem_ddrx_axi_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_input_if -# -# Top level modules: -# alt_mem_ddrx_input_if -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rank_timer -# -# Top level modules: -# alt_mem_ddrx_rank_timer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_sideband -# -# Top level modules: -# alt_mem_ddrx_sideband -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_tbp -# -# Top level modules: -# alt_mem_ddrx_tbp -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_timing_param -# -# Top level modules: -# alt_mem_ddrx_timing_param -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller -# -# Top level modules: -# alt_mem_ddrx_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller_st_top -# -# Top level modules: -# alt_mem_ddrx_controller_st_top -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_nextgen_ddr3_controller_core -# -# Top level modules: -# alt_mem_if_nextgen_ddr3_controller_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_dll_stratixiv -# -# Top level modules: -# altera_mem_if_dll_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_oct_stratixiv -# -# Top level modules: -# altera_mem_if_oct_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram -# -# Top level modules: -# rw_manager_ram -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_sc_fifo -# -# Top level modules: -# altera_avalon_sc_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_translator -# -# Top level modules: -# altera_merlin_master_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_wrapper -# -# Top level modules: -# sequencer_scc_sv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_inst_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_synchronizer -# -# Top level modules: -# altera_reset_synchronizer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_write_decoder -# -# Top level modules: -# rw_manager_write_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_bitcheck -# -# Top level modules: -# rw_manager_bitcheck -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_read_datapath -# -# Top level modules: -# rw_manager_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_controller -# -# Top level modules: -# altera_reset_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ddr3 -# -# Top level modules: -# rw_manager_ddr3 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer_wrap -# -# Top level modules: -# rw_manager_di_buffer_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_jumplogic -# -# Top level modules: -# rw_manager_jumplogic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_reg -# -# Top level modules: -# rw_manager_ac_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_data_mgr -# -# Top level modules: -# sequencer_data_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_wrapper -# -# Top level modules: -# sequencer_scc_siii_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_agent -# -# Top level modules: -# altera_merlin_master_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_decoder -# -# Top level modules: -# rw_manager_data_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_phase_decode -# -# Top level modules: -# sequencer_scc_siii_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_mux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_mux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_pattern_fifo -# -# Top level modules: -# rw_manager_pattern_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_dm_decoder -# -# Top level modules: -# rw_manager_dm_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_agent -# -# Top level modules: -# altera_merlin_slave_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_phy_mgr -# -# Top level modules: -# sequencer_phy_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_phase_decode -# -# Top level modules: -# sequencer_scc_sv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_translator -# -# Top level modules: -# altera_merlin_slave_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_reg -# -# Top level modules: -# rw_manager_inst_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_reg_file -# -# Top level modules: -# sequencer_scc_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr12 -# -# Top level modules: -# rw_manager_lfsr12 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_demux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_demux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_ac_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr72 -# -# Top level modules: -# rw_manager_lfsr72 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_mux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_mux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_datamux -# -# Top level modules: -# rw_manager_datamux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_broadcast -# -# Top level modules: -# rw_manager_data_broadcast -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_mgr -# -# Top level modules: -# sequencer_scc_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram_csr -# -# Top level modules: -# rw_manager_ram_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr36 -# -# Top level modules: -# rw_manager_lfsr36 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_generic -# -# Top level modules: -# rw_manager_generic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_core -# -# Top level modules: -# rw_manager_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_burst_uncompressor -# -# Top level modules: -# altera_merlin_burst_uncompressor -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_arbitrator -# -- Compiling module altera_merlin_arb_adder -# -# Top level modules: -# altera_merlin_arbitrator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_wrapper -# -# Top level modules: -# sequencer_scc_acv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_phase_decode -# -# Top level modules: -# sequencer_scc_acv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer -# -# Top level modules: -# rw_manager_di_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_mem_no_ifdef_params -# -# Top level modules: -# altera_mem_if_sequencer_mem_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_reg_file -# -# Top level modules: -# sequencer_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_irq_mapper -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_irq_mapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module afi_mux_ddr3_ddrx -# -# Top level modules: -# afi_mux_ddr3_ddrx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_clock_pair_generator -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_clock_pair_generator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_valid_selector -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_valid_selector -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_acv_ldc -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_acv_ldc -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_ldc_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_ldc_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_ldc_pad -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module addr_cmd_non_ldc_pad -# -# Top level modules: -# addr_cmd_non_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset_sync -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset_sync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_fr_cycle_shifter -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_fr_cycle_shifter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_write_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_write_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_hr_to_fr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_hr_to_fr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_simple_ddio_out -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_simple_ddio_out -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_sequencer_mux_bridge -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_sequencer_mux_bridge -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_phy_csr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_phy_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_iss_probe -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_iss_probe -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_flop_mem -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_flop_mem -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_ddio_3reg_stratixiv -# -# Top level modules: -# altdq_dqs2_ddio_3reg_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_abstract -# -# Top level modules: -# altdq_dqs2_abstract -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_cal_delays -# -# Top level modules: -# altdq_dqs2_cal_delays -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim -# [mk vmake ip_stratixiv_ddr3_uphy_4g_single_rank_800_master] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/compile_ip.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/copy_hex_files.tcl -# [mk execute ip_stratixiv_ddr3_uphy_4g_single_rank_800_master] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_mm_st_converter -# -# Top level modules: -# alt_mem_ddrx_mm_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd_wrap -# -# Top level modules: -# alt_mem_ddrx_addr_cmd_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr2_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr2_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr3_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr3_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_lpddr2_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_lpddr2_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_odt_gen -# -# Top level modules: -# alt_mem_ddrx_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdwr_data_tmg -# -# Top level modules: -# alt_mem_ddrx_rdwr_data_tmg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_arbiter -# -# Top level modules: -# alt_mem_ddrx_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_gen -# -# Top level modules: -# alt_mem_ddrx_burst_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_cmd_gen -# -# Top level modules: -# alt_mem_ddrx_cmd_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_csr -# -# Top level modules: -# alt_mem_ddrx_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer -# -# Top level modules: -# alt_mem_ddrx_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer_manager -# -# Top level modules: -# alt_mem_ddrx_buffer_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_tracking -# -# Top level modules: -# alt_mem_ddrx_burst_tracking -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_dataid_manager -# -# Top level modules: -# alt_mem_ddrx_dataid_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_fifo -# -# Top level modules: -# alt_mem_ddrx_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_list -# -# Top level modules: -# alt_mem_ddrx_list -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdata_path -# -# Top level modules: -# alt_mem_ddrx_rdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_wdata_path -# -# Top level modules: -# alt_mem_ddrx_wdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_32_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_64_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_decoder_wrapper -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_axi_st_converter -# -# Top level modules: -# alt_mem_ddrx_axi_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_input_if -# -# Top level modules: -# alt_mem_ddrx_input_if -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rank_timer -# -# Top level modules: -# alt_mem_ddrx_rank_timer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_sideband -# -# Top level modules: -# alt_mem_ddrx_sideband -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_tbp -# -# Top level modules: -# alt_mem_ddrx_tbp -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_timing_param -# -# Top level modules: -# alt_mem_ddrx_timing_param -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller -# -# Top level modules: -# alt_mem_ddrx_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller_st_top -# -# Top level modules: -# alt_mem_ddrx_controller_st_top -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_nextgen_ddr3_controller_core -# -# Top level modules: -# alt_mem_if_nextgen_ddr3_controller_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_dll_stratixiv -# -# Top level modules: -# altera_mem_if_dll_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_oct_stratixiv -# -# Top level modules: -# altera_mem_if_oct_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram -# -# Top level modules: -# rw_manager_ram -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_sc_fifo -# -# Top level modules: -# altera_avalon_sc_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_translator -# -# Top level modules: -# altera_merlin_master_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_wrapper -# -# Top level modules: -# sequencer_scc_sv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_inst_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_synchronizer -# -# Top level modules: -# altera_reset_synchronizer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_write_decoder -# -# Top level modules: -# rw_manager_write_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_bitcheck -# -# Top level modules: -# rw_manager_bitcheck -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_read_datapath -# -# Top level modules: -# rw_manager_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_controller -# -# Top level modules: -# altera_reset_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ddr3 -# -# Top level modules: -# rw_manager_ddr3 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer_wrap -# -# Top level modules: -# rw_manager_di_buffer_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_jumplogic -# -# Top level modules: -# rw_manager_jumplogic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_reg -# -# Top level modules: -# rw_manager_ac_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_data_mgr -# -# Top level modules: -# sequencer_data_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_wrapper -# -# Top level modules: -# sequencer_scc_siii_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_agent -# -# Top level modules: -# altera_merlin_master_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_decoder -# -# Top level modules: -# rw_manager_data_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_phase_decode -# -# Top level modules: -# sequencer_scc_siii_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_mux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_mux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_pattern_fifo -# -# Top level modules: -# rw_manager_pattern_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_dm_decoder -# -# Top level modules: -# rw_manager_dm_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_agent -# -# Top level modules: -# altera_merlin_slave_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_phy_mgr -# -# Top level modules: -# sequencer_phy_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_phase_decode -# -# Top level modules: -# sequencer_scc_sv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_translator -# -# Top level modules: -# altera_merlin_slave_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_reg -# -# Top level modules: -# rw_manager_inst_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_reg_file -# -# Top level modules: -# sequencer_scc_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr12 -# -# Top level modules: -# rw_manager_lfsr12 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_demux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_demux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_ac_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr72 -# -# Top level modules: -# rw_manager_lfsr72 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_mux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_mux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_datamux -# -# Top level modules: -# rw_manager_datamux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_broadcast -# -# Top level modules: -# rw_manager_data_broadcast -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_mgr -# -# Top level modules: -# sequencer_scc_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram_csr -# -# Top level modules: -# rw_manager_ram_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr36 -# -# Top level modules: -# rw_manager_lfsr36 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_generic -# -# Top level modules: -# rw_manager_generic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_core -# -# Top level modules: -# rw_manager_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_burst_uncompressor -# -# Top level modules: -# altera_merlin_burst_uncompressor -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_arbitrator -# -- Compiling module altera_merlin_arb_adder -# -# Top level modules: -# altera_merlin_arbitrator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_wrapper -# -# Top level modules: -# sequencer_scc_acv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_phase_decode -# -# Top level modules: -# sequencer_scc_acv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer -# -# Top level modules: -# rw_manager_di_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_mem_no_ifdef_params -# -# Top level modules: -# altera_mem_if_sequencer_mem_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_reg_file -# -# Top level modules: -# sequencer_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_irq_mapper -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_irq_mapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module afi_mux_ddr3_ddrx -# -# Top level modules: -# afi_mux_ddr3_ddrx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_clock_pair_generator -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_clock_pair_generator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_valid_selector -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_valid_selector -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_acv_ldc -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_acv_ldc -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_ldc_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_ldc_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_ldc_pad -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module addr_cmd_non_ldc_pad -# -# Top level modules: -# addr_cmd_non_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset_sync -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset_sync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_fr_cycle_shifter -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_fr_cycle_shifter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_write_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_write_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_hr_to_fr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_hr_to_fr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_simple_ddio_out -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_simple_ddio_out -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_sequencer_mux_bridge -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_sequencer_mux_bridge -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_phy_csr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_phy_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_iss_probe -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_iss_probe -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_flop_mem -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_flop_mem -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_ddio_3reg_stratixiv -# -# Top level modules: -# altdq_dqs2_ddio_3reg_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_abstract -# -# Top level modules: -# altdq_dqs2_abstract -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_cal_delays -# -# Top level modules: -# altdq_dqs2_cal_delays -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim -# [mk make ip_stratixiv_ddr3_uphy_4g_single_rank_800_master] -# -# [mk compile ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/compile_ip.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/copy_hex_files.tcl -# [mk execute ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_mm_st_converter -# -# Top level modules: -# alt_mem_ddrx_mm_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd_wrap -# -# Top level modules: -# alt_mem_ddrx_addr_cmd_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr2_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr2_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr3_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr3_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_lpddr2_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_lpddr2_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_odt_gen -# -# Top level modules: -# alt_mem_ddrx_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdwr_data_tmg -# -# Top level modules: -# alt_mem_ddrx_rdwr_data_tmg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_arbiter -# -# Top level modules: -# alt_mem_ddrx_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_gen -# -# Top level modules: -# alt_mem_ddrx_burst_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_cmd_gen -# -# Top level modules: -# alt_mem_ddrx_cmd_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_csr -# -# Top level modules: -# alt_mem_ddrx_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer -# -# Top level modules: -# alt_mem_ddrx_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer_manager -# -# Top level modules: -# alt_mem_ddrx_buffer_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_tracking -# -# Top level modules: -# alt_mem_ddrx_burst_tracking -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_dataid_manager -# -# Top level modules: -# alt_mem_ddrx_dataid_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_fifo -# -# Top level modules: -# alt_mem_ddrx_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_list -# -# Top level modules: -# alt_mem_ddrx_list -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdata_path -# -# Top level modules: -# alt_mem_ddrx_rdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_wdata_path -# -# Top level modules: -# alt_mem_ddrx_wdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_32_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_64_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_decoder_wrapper -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_axi_st_converter -# -# Top level modules: -# alt_mem_ddrx_axi_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_input_if -# -# Top level modules: -# alt_mem_ddrx_input_if -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rank_timer -# -# Top level modules: -# alt_mem_ddrx_rank_timer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_sideband -# -# Top level modules: -# alt_mem_ddrx_sideband -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_tbp -# -# Top level modules: -# alt_mem_ddrx_tbp -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_timing_param -# -# Top level modules: -# alt_mem_ddrx_timing_param -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller -# -# Top level modules: -# alt_mem_ddrx_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller_st_top -# -# Top level modules: -# alt_mem_ddrx_controller_st_top -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_nextgen_ddr3_controller_core -# -# Top level modules: -# alt_mem_if_nextgen_ddr3_controller_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_c0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_c0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram -# -# Top level modules: -# rw_manager_ram -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_sc_fifo -# -# Top level modules: -# altera_avalon_sc_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_translator -# -# Top level modules: -# altera_merlin_master_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_wrapper -# -# Top level modules: -# sequencer_scc_sv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_inst_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_synchronizer -# -# Top level modules: -# altera_reset_synchronizer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_write_decoder -# -# Top level modules: -# rw_manager_write_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_bitcheck -# -# Top level modules: -# rw_manager_bitcheck -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_read_datapath -# -# Top level modules: -# rw_manager_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_controller -# -# Top level modules: -# altera_reset_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ddr3 -# -# Top level modules: -# rw_manager_ddr3 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer_wrap -# -# Top level modules: -# rw_manager_di_buffer_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_jumplogic -# -# Top level modules: -# rw_manager_jumplogic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_reg -# -# Top level modules: -# rw_manager_ac_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_data_mgr -# -# Top level modules: -# sequencer_data_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_wrapper -# -# Top level modules: -# sequencer_scc_siii_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_agent -# -# Top level modules: -# altera_merlin_master_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_decoder -# -# Top level modules: -# rw_manager_data_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_phase_decode -# -# Top level modules: -# sequencer_scc_siii_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_mux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_mux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_pattern_fifo -# -# Top level modules: -# rw_manager_pattern_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_dm_decoder -# -# Top level modules: -# rw_manager_dm_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_agent -# -# Top level modules: -# altera_merlin_slave_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_phy_mgr -# -# Top level modules: -# sequencer_phy_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_phase_decode -# -# Top level modules: -# sequencer_scc_sv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_translator -# -# Top level modules: -# altera_merlin_slave_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_reg -# -# Top level modules: -# rw_manager_inst_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_reg_file -# -# Top level modules: -# sequencer_scc_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr12 -# -# Top level modules: -# rw_manager_lfsr12 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_demux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_demux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_ac_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr72 -# -# Top level modules: -# rw_manager_lfsr72 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_mux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_mux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_datamux -# -# Top level modules: -# rw_manager_datamux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_broadcast -# -# Top level modules: -# rw_manager_data_broadcast -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_mgr -# -# Top level modules: -# sequencer_scc_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram_csr -# -# Top level modules: -# rw_manager_ram_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr36 -# -# Top level modules: -# rw_manager_lfsr36 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_generic -# -# Top level modules: -# rw_manager_generic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_001_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_core -# -# Top level modules: -# rw_manager_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_burst_uncompressor -# -# Top level modules: -# altera_merlin_burst_uncompressor -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_arbitrator -# -- Compiling module altera_merlin_arb_adder -# -# Top level modules: -# altera_merlin_arbitrator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_wrapper -# -# Top level modules: -# sequencer_scc_acv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_phase_decode -# -# Top level modules: -# sequencer_scc_acv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer -# -# Top level modules: -# rw_manager_di_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_mem_no_ifdef_params -# -# Top level modules: -# altera_mem_if_sequencer_mem_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_003_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_reg_file -# -# Top level modules: -# sequencer_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_irq_mapper -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_irq_mapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module afi_mux_ddr3_ddrx -# -# Top level modules: -# afi_mux_ddr3_ddrx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_clock_pair_generator -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_clock_pair_generator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_valid_selector -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_valid_selector -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_acv_ldc -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_acv_ldc -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_ldc_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_ldc_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_ldc_pad -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module addr_cmd_non_ldc_pad -# -# Top level modules: -# addr_cmd_non_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_memphy -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_memphy -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset_sync -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset_sync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_new_io_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_new_io_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_fr_cycle_shifter -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_fr_cycle_shifter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_write_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_write_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_hr_to_fr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_hr_to_fr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_simple_ddio_out -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_simple_ddio_out -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_sequencer_mux_bridge -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_sequencer_mux_bridge -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_phy_csr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_phy_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_iss_probe -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_iss_probe -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_flop_mem -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_flop_mem -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_altdqdqs -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_altdqdqs -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_ddio_3reg_stratixiv -# -# Top level modules: -# altdq_dqs2_ddio_3reg_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_abstract -# -# Top level modules: -# altdq_dqs2_abstract -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_cal_delays -# -# Top level modules: -# altdq_dqs2_cal_delays -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_0002 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim -# [mk vmake ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/compile_ip.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/copy_hex_files.tcl -# [mk execute ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_mm_st_converter -# -# Top level modules: -# alt_mem_ddrx_mm_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_addr_cmd_wrap -# -# Top level modules: -# alt_mem_ddrx_addr_cmd_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr2_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr2_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ddr3_odt_gen -# -# Top level modules: -# alt_mem_ddrx_ddr3_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_lpddr2_addr_cmd -# -# Top level modules: -# alt_mem_ddrx_lpddr2_addr_cmd -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_odt_gen -# -# Top level modules: -# alt_mem_ddrx_odt_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdwr_data_tmg -# -# Top level modules: -# alt_mem_ddrx_rdwr_data_tmg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_arbiter -# -# Top level modules: -# alt_mem_ddrx_arbiter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_gen -# -# Top level modules: -# alt_mem_ddrx_burst_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_cmd_gen -# -# Top level modules: -# alt_mem_ddrx_cmd_gen -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_csr -# -# Top level modules: -# alt_mem_ddrx_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer -# -# Top level modules: -# alt_mem_ddrx_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_buffer_manager -# -# Top level modules: -# alt_mem_ddrx_buffer_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_burst_tracking -# -# Top level modules: -# alt_mem_ddrx_burst_tracking -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_dataid_manager -# -# Top level modules: -# alt_mem_ddrx_dataid_manager -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_fifo -# -# Top level modules: -# alt_mem_ddrx_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_list -# -# Top level modules: -# alt_mem_ddrx_list -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rdata_path -# -# Top level modules: -# alt_mem_ddrx_rdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_wdata_path -# -# Top level modules: -# alt_mem_ddrx_wdata_path -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_32_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_decode -# -- Compiling module alt_mem_ddrx_ecc_decoder_64_altecc_decoder -# -- Compiling module alt_mem_ddrx_ecc_decoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_decoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_32_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_32 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_32 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_64_altecc_encoder -# -- Compiling module alt_mem_ddrx_ecc_encoder_64 -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_64 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_ecc_encoder_decoder_wrapper -# -# Top level modules: -# alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_axi_st_converter -# -# Top level modules: -# alt_mem_ddrx_axi_st_converter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_input_if -# -# Top level modules: -# alt_mem_ddrx_input_if -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_rank_timer -# -# Top level modules: -# alt_mem_ddrx_rank_timer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_sideband -# -# Top level modules: -# alt_mem_ddrx_sideband -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_tbp -# -# Top level modules: -# alt_mem_ddrx_tbp -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_timing_param -# -# Top level modules: -# alt_mem_ddrx_timing_param -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller -# -# Top level modules: -# alt_mem_ddrx_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_ddrx_controller_st_top -# -# Top level modules: -# alt_mem_ddrx_controller_st_top -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_nextgen_ddr3_controller_core -# -# Top level modules: -# alt_mem_if_nextgen_ddr3_controller_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_c0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_c0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram -# -# Top level modules: -# rw_manager_ram -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_avalon_sc_fifo -# -# Top level modules: -# altera_avalon_sc_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_translator -# -# Top level modules: -# altera_merlin_master_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_wrapper -# -# Top level modules: -# sequencer_scc_sv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_inst_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_synchronizer -# -# Top level modules: -# altera_reset_synchronizer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_write_decoder -# -# Top level modules: -# rw_manager_write_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_bitcheck -# -# Top level modules: -# rw_manager_bitcheck -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_read_datapath -# -# Top level modules: -# rw_manager_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_reset_controller -# -# Top level modules: -# altera_reset_controller -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ddr3 -# -# Top level modules: -# rw_manager_ddr3 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer_wrap -# -# Top level modules: -# rw_manager_di_buffer_wrap -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_jumplogic -# -# Top level modules: -# rw_manager_jumplogic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_reg -# -# Top level modules: -# rw_manager_ac_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_data_mgr -# -# Top level modules: -# sequencer_data_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_wrapper -# -# Top level modules: -# sequencer_scc_siii_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_master_agent -# -# Top level modules: -# altera_merlin_master_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_decoder -# -# Top level modules: -# rw_manager_data_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_siii_phase_decode -# -# Top level modules: -# sequencer_scc_siii_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_mux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_mux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_cmd_xbar_demux_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_pattern_fifo -# -# Top level modules: -# rw_manager_pattern_fifo -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_dm_decoder -# -# Top level modules: -# rw_manager_dm_decoder -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_agent -# -# Top level modules: -# altera_merlin_slave_agent -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_phy_mgr -# -# Top level modules: -# sequencer_phy_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# -- Compiling module altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# -# Top level modules: -# altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_sv_phase_decode -# -# Top level modules: -# sequencer_scc_sv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_slave_translator -# -# Top level modules: -# altera_merlin_slave_translator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_inst_ROM_reg -# -# Top level modules: -# rw_manager_inst_ROM_reg -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_reg_file -# -# Top level modules: -# sequencer_scc_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr12 -# -# Top level modules: -# rw_manager_lfsr12 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_demux_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_demux_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ac_ROM_no_ifdef_params -# -# Top level modules: -# rw_manager_ac_ROM_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr72 -# -# Top level modules: -# rw_manager_lfsr72 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_mux -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_rsp_xbar_mux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_datamux -# -# Top level modules: -# rw_manager_datamux -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_data_broadcast -# -# Top level modules: -# rw_manager_data_broadcast -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_mgr -# -# Top level modules: -# sequencer_scc_mgr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_ram_csr -# -# Top level modules: -# rw_manager_ram_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_lfsr36 -# -# Top level modules: -# rw_manager_lfsr36 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_generic -# -# Top level modules: -# rw_manager_generic -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_001_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_001 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_addr_router_001 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_core -# -# Top level modules: -# rw_manager_core -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_burst_uncompressor -# -# Top level modules: -# altera_merlin_burst_uncompressor -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_merlin_arbitrator -# -- Compiling module altera_merlin_arb_adder -# -# Top level modules: -# altera_merlin_arbitrator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_wrapper -# -# Top level modules: -# sequencer_scc_acv_wrapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_scc_acv_phase_decode -# -# Top level modules: -# sequencer_scc_acv_phase_decode -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module rw_manager_di_buffer -# -# Top level modules: -# rw_manager_di_buffer -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altera_mem_if_sequencer_mem_no_ifdef_params -# -# Top level modules: -# altera_mem_if_sequencer_mem_no_ifdef_params -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_003_default_decode -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_003 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_id_router_003 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module sequencer_reg_file -# -# Top level modules: -# sequencer_reg_file -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_irq_mapper -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_s0_irq_mapper -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module afi_mux_ddr3_ddrx -# -# Top level modules: -# afi_mux_ddr3_ddrx -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_clock_pair_generator -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_clock_pair_generator -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_valid_selector -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_valid_selector -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_acv_ldc -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_acv_ldc -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_ldc_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_ldc_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_ldc_pad -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_addr_cmd_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module addr_cmd_non_ldc_pad -# -# Top level modules: -# addr_cmd_non_ldc_pad -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_memphy -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_memphy -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset_sync -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_reset_sync -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_new_io_pads -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_new_io_pads -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_fr_cycle_shifter -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_fr_cycle_shifter -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_read_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_write_datapath -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_write_datapath -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_hr_to_fr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_hr_to_fr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_simple_ddio_out -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_simple_ddio_out -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_sequencer_mux_bridge -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_sequencer_mux_bridge -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_phy_csr -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_phy_csr -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_iss_probe -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_iss_probe -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_flop_mem -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_flop_mem -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_altdqdqs -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_p0_altdqdqs -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_ddio_3reg_stratixiv -# -# Top level modules: -# altdq_dqs2_ddio_3reg_stratixiv -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_abstract -# -# Top level modules: -# altdq_dqs2_abstract -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module altdq_dqs2_cal_delays -# -# Top level modules: -# altdq_dqs2_cal_delays -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_0002 -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_0002 -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# -# Top level modules: -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim -# [mk make ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave] -# -# [mk compile ip_stratixiv_ddr3_mem_model] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_ddr3_mem_model -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_mem_model/compile_ip.tcl -# [mk execute ip_stratixiv_ddr3_mem_model] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_mem_model/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_example_design -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en -# -# Top level modules: -# alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# [mk vmake ip_stratixiv_ddr3_mem_model] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_mem_model/compile_ip.tcl -# [mk execute ip_stratixiv_ddr3_mem_model] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_mem_model/compile_ip.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_example_design -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -- Compiling module alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en -# -# Top level modules: -# alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en -# Model Technology ModelSim SE-64 vlog 6.6c Compiler 2010.08 Aug 23 2010 -# -# Top level modules: -# [mk make ip_stratixiv_ddr3_mem_model] -# -# [mk compile tech_ddr] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project tech_ddr -# Compile of tech_ddr_pkg.vhd was successful. -# Compile of sim_ddr.vhd was successful. -# Compile of tech_ddr_component_pkg.vhd was successful. -# Compile of tech_ddr_stratixiv.vhd was successful. -# Compile of tech_ddr_arria10.vhd was successful. -# Compile of tech_ddr.vhd was successful. -# Compile of tech_ddr_mem_model_component_pkg.vhd was successful. -# Compile of tech_ddr_mem_model.vhd was successful. -# 8 compiles, 0 failed with no errors. -# [mk vmake tech_ddr] -# -# [mk make tech_ddr] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package tech_ddr_component_pkg -# -- Compiling package body tech_ddr_component_pkg -# -- Loading package tech_ddr_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package technology_pkg -# -- Compiling package tech_ddr_pkg -# -- Compiling package body tech_ddr_pkg -# -- Loading package tech_ddr_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package tech_ddr_component_pkg -# -- Compiling entity tech_ddr_stratixiv -# -- Compiling architecture str of tech_ddr_stratixiv -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package tech_ddr_mem_model_component_pkg -# -- Compiling package body tech_ddr_mem_model_component_pkg -# -- Loading package tech_ddr_mem_model_component_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package tech_ddr_mem_model_component_pkg -# -- Compiling entity tech_ddr_memory_model -# -- Compiling architecture str of tech_ddr_memory_model -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package tech_ddr_component_pkg -# -- Compiling entity tech_ddr_arria10 -# -- Compiling architecture str of tech_ddr_arria10 -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Compiling entity sim_ddr -# -- Compiling architecture str of sim_ddr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Compiling entity tech_ddr -# -- Compiling architecture str of tech_ddr -# -- Loading package tech_ddr_component_pkg -# -- Loading entity tech_ddr_stratixiv -# -- Loading entity tech_ddr_arria10 -# -- Loading entity sim_ddr -# [mk compile io_ddr] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project io_ddr -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/copy_hex_files.tcl -# [mk execute io_ddr] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_sim -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/generated/sim -# ** Error: error copying "/home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/generated/sim/../altera_emif_arch_nf_150/sim/ip_arria10_ddr4_4g_1600_altera_emif_arch_nf_150_3yki4wa_seq_cal_sim.hex": no such file or directory -# Error in macro /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/copy_hex_files.tcl line 33 -# error copying "/home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/generated/sim/../altera_emif_arch_nf_150/sim/ip_arria10_ddr4_4g_1600_altera_emif_arch_nf_150_3yki4wa_seq_cal_sim.hex": no such file or directory -# while executing -# "file copy -force $IP_DIR/../altera_emif_arch_nf_150/sim/ip_arria10_ddr4_4g_1600_altera_emif_arch_nf_150_3yki4wa_seq_cal_sim.hex ./" -# invoked from within -# "if {[file isdirectory $IP_DIR]} { -# file copy -force $IP_DIR/../altera_emif_arch_nf_150/sim/ip_arria10_ddr4_4g_1600_altera_emif_arch_nf_150_3yki4wa_..." -# Compile of io_ddr_driver_flush_ctrl.vhd was successful. -# Compile of io_ddr_driver.vhd was successful. -# Compile of io_ddr_cross_domain.vhd was successful. -# Compile of io_ddr_reg.vhd was successful. -# Compile of io_ddr.vhd was successful. -# Compile of mms_io_ddr.vhd was successful. -# Compile of mms_io_ddr_diag.vhd was successful. -# Compile of tb_io_ddr.vhd was successful. -# Compile of tb_tb_io_ddr.vhd was successful. -# 9 compiles, 0 failed with no errors. -# [mk vmake io_ddr] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/copy_hex_files.tcl -# [mk execute io_ddr] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_sim -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/generated/sim -# ** Error: error copying "/home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/generated/sim/../altera_emif_arch_nf_150/sim/ip_arria10_ddr4_4g_1600_altera_emif_arch_nf_150_3yki4wa_seq_cal_sim.hex": no such file or directory -# Error in macro /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/copy_hex_files.tcl line 33 -# error copying "/home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_arria10/ddr4_4g_1600/generated/sim/../altera_emif_arch_nf_150/sim/ip_arria10_ddr4_4g_1600_altera_emif_arch_nf_150_3yki4wa_seq_cal_sim.hex": no such file or directory -# while executing -# "file copy -force $IP_DIR/../altera_emif_arch_nf_150/sim/ip_arria10_ddr4_4g_1600_altera_emif_arch_nf_150_3yki4wa_seq_cal_sim.hex ./" -# invoked from within -# "if {[file isdirectory $IP_DIR]} { -# file copy -force $IP_DIR/../altera_emif_arch_nf_150/sim/ip_arria10_ddr4_4g_1600_altera_emif_arch_nf_150_3yki4wa_..." -# [mk make io_ddr] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Compiling entity io_ddr_driver -# -- Compiling architecture str of io_ddr_driver -# -- Loading entity common_evt -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity io_ddr_driver_flush_ctrl -# -- Compiling architecture str of io_ddr_driver_flush_ctrl -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity io_ddr_cross_domain -# -- Compiling architecture str of io_ddr_cross_domain -# -- Loading entity common_spulse -# -- Loading entity common_async -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity io_ddr -# -- Compiling architecture str of io_ddr -# -- Loading entity io_ddr_cross_domain -# -- Loading entity dp_fifo_dc_mixed_widths -# -- Loading entity dp_flush -# -- Loading entity io_ddr_driver_flush_ctrl -# -- Loading entity io_ddr_driver -# -- Loading entity tech_ddr -# -- Loading entity common_reg_r_w_dc -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Compiling entity tb_io_ddr -# -- Compiling architecture str of tb_io_ddr -# -- Loading package common_lfsr_sequences_pkg -# -- Loading entity diagnostics -# -- Loading entity io_ddr -# -- Loading package tech_ddr_mem_model_component_pkg -# -- Loading entity tech_ddr_memory_model -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package math_real -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package numeric_std -# -- Loading package common_pkg -# -- Loading package tech_ddr_pkg -# -- Compiling entity tb_tb_io_ddr -# -- Compiling architecture tb of tb_tb_io_ddr -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading entity tb_io_ddr -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package diag_pkg -# -- Compiling entity io_ddr_reg -# -- Compiling architecture rtl of io_ddr_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity mms_io_ddr -# -- Compiling architecture str of mms_io_ddr -# -- Loading entity common_mem_mux -# -- Loading entity io_ddr -# -- Loading package diag_pkg -# -- Loading entity io_ddr_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package diag_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Compiling entity mms_io_ddr_diag -# -- Compiling architecture str of mms_io_ddr_diag -# -- Loading entity mms_io_ddr -# -- Loading entity mms_diag_block_gen -# -- Loading entity mms_diag_data_buffer -# [mk compile reorder] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project reorder -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# [mk execute reorder] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_sim -# Compile of reorder_pkg.vhd was successful. -# Compile of reorder_retreive.vhd was successful. -# Compile of reorder_store.vhd was successful. -# Compile of reorder_col.vhd was successful. -# Compile of reorder_col_wide.vhd was successful. -# Compile of reorder_row.vhd was successful. -# Compile of reorder_matrix.vhd was successful. -# Compile of reorder_sequencer.vhd was successful. -# Compile of reorder_transpose.vhd was successful. -# Compile of reorder_rewire.vhd was successful. -# Compile of tb_reorder_transpose.vhd was successful. -# Compile of tb_reorder_col.vhd was successful. -# Compile of tb_tb_reorder_col.vhd was successful. -# Compile of tb_reorder_col_wide.vhd was successful. -# Compile of tb_mmf_reorder_matrix.vhd was successful. -# Compile of tb_mmf_reorder_row.vhd was successful. -# 16 compiles, 0 failed with no errors. -# [mk vmake reorder] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# [mk execute reorder] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_800_master/generated/ip_stratixiv_ddr3_uphy_4g_800_master_sim -# [mk make reorder] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity reorder_retrieve -# -- Compiling architecture rtl of reorder_retrieve -# -- Loading entity common_switch -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity reorder_store -# -- Compiling architecture rtl of reorder_store -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity reorder_col -# -- Compiling architecture str of reorder_col -# -- Loading entity dp_throttle_sop -# -- Loading entity reorder_store -# -- Loading entity common_paged_ram_r_w -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_crw_crw -# -- Loading entity reorder_retrieve -# -- Loading entity dp_latency_adapter -# -- Loading entity dp_paged_sop_eop_reg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_reorder_col -# -- Compiling architecture tb of tb_reorder_col -# -- Loading entity reorder_col -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_tb_reorder_col -# -- Compiling architecture tb of tb_tb_reorder_col -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Loading entity tb_reorder_col -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Compiling package reorder_pkg -# -- Compiling package body reorder_pkg -# -- Loading package reorder_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package reorder_pkg -# -- Compiling entity reorder_sequencer -# -- Compiling architecture rtl of reorder_sequencer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package reorder_pkg -# -- Compiling entity reorder_transpose -# -- Compiling architecture str of reorder_transpose -# -- Loading entity dp_sync_checker -# -- Loading entity dp_packet_merge -# -- Loading entity reorder_col -# -- Loading entity reorder_sequencer -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_fifo_sc -# -- Loading entity common_fifo_rd -# -- Loading entity dp_pipeline -# -- Loading entity dp_block_gen -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package mm_file_unb_pkg -# -- Loading package mm_file_pkg -# -- Loading package dp_stream_pkg -# -- Loading package diag_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package reorder_pkg -# -- Compiling entity tb_reorder_transpose -# -- Compiling architecture tb of tb_reorder_transpose -# -- Loading entity mms_diag_block_gen -# -- Loading entity reorder_transpose -# -- Loading entity io_ddr -# -- Loading package tech_ddr_mem_model_component_pkg -# -- Loading entity tech_ddr_memory_model -# -- Loading entity mms_diag_data_buffer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity reorder_col_wide -# -- Compiling architecture str of reorder_col_wide -# -- Loading entity common_mem_mux -# -- Loading entity reorder_col -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package dp_stream_pkg -# -- Loading package tb_dp_pkg -# -- Compiling entity tb_reorder_col_wide -# -- Compiling architecture tb of tb_reorder_col_wide -# -- Loading entity reorder_col_wide -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity reorder_row -# -- Compiling architecture str of reorder_row -# -- Loading package common_components_pkg -# -- Loading entity common_select_m_symbols -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading entity common_ram_crw_crw_ratio -# -- Loading entity common_counter -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package mm_file_unb_pkg -# -- Loading package mm_file_pkg -# -- Loading package dp_stream_pkg -# -- Loading package diag_pkg -# -- Compiling entity tb_mmf_reorder_row -# -- Compiling architecture tb of tb_mmf_reorder_row -# -- Loading entity mms_diag_block_gen -# -- Loading entity reorder_row -# -- Loading entity mms_diag_data_buffer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Compiling entity reorder_matrix -# -- Compiling architecture str of reorder_matrix -# -- Loading entity dp_throttle_sop -# -- Loading entity reorder_row -# -- Loading entity reorder_col_wide -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package tb_common_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package mm_file_unb_pkg -# -- Loading package mm_file_pkg -# -- Loading package dp_stream_pkg -# -- Loading package diag_pkg -# -- Compiling entity tb_mmf_reorder_matrix -# -- Compiling architecture tb of tb_mmf_reorder_matrix -# -- Loading entity mms_diag_block_gen -# -- Loading entity reorder_matrix -# -- Loading entity mms_diag_data_buffer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package reorder_pkg -# -- Compiling entity reorder_rewire -# -- Compiling architecture str of reorder_rewire -# [mk compile unb1_test] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project unb1_test -# Compile of qsys_unb1_test_pkg.vhd was successful. -# Compile of unb1_test_pkg.vhd was successful. -# Compile of mmm_unb1_test.vhd was successful. -# Compile of udp_stream.vhd was successful. -# Compile of ddr_stream.vhd was successful. -# Compile of unb1_test.vhd was successful. -# Compile of tb_unb1_test.vhd was successful. -# 7 compiles, 0 failed with no errors. -# [mk vmake unb1_test] -# -# [mk make unb1_test] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Compiling package unb1_test_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package diag_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Compiling entity ddr_stream -# -- Compiling architecture str of ddr_stream -# -- Loading entity mms_io_ddr_diag -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package diag_pkg -# -- Loading package unb1_test_pkg -# -- Compiling entity udp_stream -# -- Compiling architecture str of udp_stream -# -- Loading entity mms_diag_block_gen -# -- Loading entity dp_fifo_sc -# -- Loading entity dp_offload_tx -# -- Loading entity dp_offload_rx -# -- Loading entity mms_dp_bsn_monitor -# -- Loading entity mms_diag_data_buffer -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling package qsys_unb1_test_pkg -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package tb_common_mem_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package unb1_board_peripherals_pkg -# -- Loading package tb_common_pkg -# -- Loading package mm_file_pkg -# -- Loading package mm_file_unb_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Loading package technology_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tb_tech_tse_pkg -# -- Loading package qsys_unb1_test_pkg -# -- Loading package reorder_pkg -# -- Loading package unb1_test_pkg -# -- Compiling entity mmm_unb1_test -# -- Compiling architecture str of mmm_unb1_test -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package technology_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package diag_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package reorder_pkg -# -- Loading package unb1_test_pkg -# -- Compiling entity unb1_test -# -- Compiling architecture str of unb1_test -# -- Loading entity common_areset -# -- Loading package i2c_pkg -# -- Loading entity ctrl_unb1_board -# -- Loading package tb_common_mem_pkg -# -- Loading package common_network_total_header_pkg -# -- Loading package unb1_board_peripherals_pkg -# -- Loading package tb_common_pkg -# -- Loading package mm_file_pkg -# -- Loading package mm_file_unb_pkg -# -- Loading package common_lfsr_sequences_pkg -# -- Loading package tb_dp_pkg -# -- Loading package tb_tech_tse_pkg -# -- Loading package qsys_unb1_test_pkg -# -- Loading entity mmm_unb1_test -# -- Loading entity udp_stream -# -- Loading package technology_select_pkg -# -- Loading package tech_mac_10g_component_pkg -# -- Loading entity tr_10gbe -# -- Loading entity unb1_board_front_io -# -- Loading entity ddr_stream -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package tech_ddr_mem_model_component_pkg -# -- Compiling entity tb_unb1_test -# -- Compiling architecture tb of tb_unb1_test -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package diag_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Loading package reorder_pkg -# -- Loading package unb1_test_pkg -# -- Loading entity unb1_test -# -- Loading entity tech_ddr_memory_model -# -- Loading package i2c_dev_max1617_pkg -# -- Loading entity dev_max1618 -# -- Loading package i2c_dev_ltc4260_pkg -# -- Loading entity dev_ltc4260 -# [mk compile unb1_test_ddr_MB_I_II] -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project unb1_test_ddr_MB_I_II -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/copy_hex_files.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/copy_hex_files.tcl -# [mk execute unb1_test_ddr_MB_I_II] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim -# Compile of unb1_test_ddr_MB_I_II.vhd was successful. -# Compile of tb_unb1_test_ddr_MB_I_II.vhd was successful. -# 2 compiles, 0 failed with no errors. -# [mk vmake unb1_test_ddr_MB_I_II] -# -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/copy_hex_files.tcl /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/copy_hex_files.tcl -# [mk execute unb1_test_ddr_MB_I_II] -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim -# do /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/copy_hex_files.tcl -# /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_slave/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave_sim -# [mk make unb1_test_ddr_MB_I_II] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package technology_pkg -# -- Loading package technology_select_pkg -# -- Loading package tech_ddr_pkg -# -- Compiling entity unb1_test_ddr_mb_i_ii -# -- Compiling architecture str of unb1_test_ddr_mb_i_ii -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package diag_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Loading package reorder_pkg -# -- Loading package unb1_test_pkg -# -- Loading entity unb1_test -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_unb1_test_ddr_mb_i_ii -# -- Compiling architecture tb of tb_unb1_test_ddr_mb_i_ii -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package tech_ddr_mem_model_component_pkg -# -- Loading entity tb_unb1_test -# unb1_test_ddr_MB_I_II -# Compile of unb1_test_ddr_MB_I_II.vhd was successful. -# Compile of tb_unb1_test_ddr_MB_I_II.vhd was successful. -# 2 compiles, 0 failed with no errors. -vsim -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L stratixiv_ver -L stratixiv_hssi_ver -L stratixiv_pcie_hip_ver -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L stratixiv -L stratixiv_hssi -L stratixiv_pcie_hip -novopt work.tb_unb1_test_ddr_MB_I_II -# vsim +nowarn8684 +nowarn8683 +nowarnTFMPC +nowarnPCDPC -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L stratixiv_ver -L stratixiv_hssi_ver -L stratixiv_pcie_hip_ver -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L stratixiv -L stratixiv_hssi -L stratixiv_pcie_hip -novopt work.tb_unb1_test_ddr_MB_I_II -# Loading std.standard -# Loading ieee.std_logic_1164(body) -# Loading ieee.numeric_std(body) -# Loading ieee.math_real(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_pkg(body) -# Loading common_lib.common_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.dp_stream_pkg(body) -# Loading dp_lib.dp_stream_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_pkg(body) -# Loading unb1_board_lib.unb1_board_pkg(body) -# Loading std.textio(body) -# Loading ieee.std_logic_textio(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.tb_common_pkg(body) -# Loading common_lib.tb_common_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/technology/work.technology_pkg(body) -# Loading technology_lib.technology_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_ddr/work.tech_ddr_pkg(body) -# Loading tech_ddr_lib.tech_ddr_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_ddr/work.tech_ddr_mem_model_component_pkg(body) -# Loading tech_ddr_lib.tech_ddr_mem_model_component_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test_ddr_MB_I_II/work.tb_unb1_test_ddr_mb_i_ii(tb) -# Loading work.tb_unb1_test_ddr_mb_i_ii(tb) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_mem_pkg(body) -# Loading common_lib.common_mem_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_interface_layers_pkg(body) -# Loading common_lib.common_interface_layers_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_network_layers_pkg(body) -# Loading common_lib.common_network_layers_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_str_pkg(body) -# Loading common_lib.common_str_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_field_pkg(body) -# Loading common_lib.common_field_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/diag/work.diag_pkg(body) -# Loading diag_lib.diag_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_tse/work.tech_tse_pkg(body) -# Loading tech_tse_lib.tech_tse_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/eth/work.eth_pkg(body) -# Loading eth_lib.eth_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/reorder/work.reorder_pkg(body) -# Loading reorder_lib.reorder_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work.unb1_test_pkg -# Loading unb1_test_lib.unb1_test_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.i2c_dev_max1617_pkg -# Loading i2c_lib.i2c_dev_max1617_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.i2c_dev_ltc4260_pkg -# Loading i2c_lib.i2c_dev_ltc4260_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work.tb_unb1_test(tb) -# Loading unb1_test_lib.tb_unb1_test(tb) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.i2c_pkg(body) -# Loading i2c_lib.i2c_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.tb_common_mem_pkg(body) -# Loading common_lib.tb_common_mem_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_network_total_header_pkg(body) -# Loading common_lib.common_network_total_header_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_peripherals_pkg(body) -# Loading unb1_board_lib.unb1_board_peripherals_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/mm/work.mm_file_pkg(body) -# Loading mm_lib.mm_file_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/mm/work.mm_file_unb_pkg(body) -# Loading mm_lib.mm_file_unb_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_lfsr_sequences_pkg(body) -# Loading common_lib.common_lfsr_sequences_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.tb_dp_pkg(body) -# Loading dp_lib.tb_dp_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_tse/work.tb_tech_tse_pkg(body) -# Loading tech_tse_lib.tb_tech_tse_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work.qsys_unb1_test_pkg -# Loading unb1_test_lib.qsys_unb1_test_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/technology/work.technology_select_pkg -# Loading technology_lib.technology_select_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_mac_10g/work.tech_mac_10g_component_pkg(body) -# Loading tech_mac_10g_lib.tech_mac_10g_component_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work.unb1_test(str) -# Loading unb1_test_lib.unb1_test(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_areset(str) -# Loading common_lib.common_areset(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_async(rtl) -# Loading common_lib.common_async(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_flash/work.tech_flash_component_pkg(body) -# Loading tech_flash_lib.tech_flash_component_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.ctrl_unb1_board(str) -# Loading unb1_board_lib.ctrl_unb1_board(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_pll/work.tech_pll_component_pkg -# Loading tech_pll_lib.tech_pll_component_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_clk200_pll(stratix4) -# Loading unb1_board_lib.unb1_board_clk200_pll(stratix4) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_pll/work.tech_pll_clk200(str) -# Loading tech_pll_lib.tech_pll_clk200(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_pll/work.ip_stratixiv_pll_clk200(syn) -# Loading ip_stratixiv_pll_lib.ip_stratixiv_pll_clk200(syn) -# Loading altera_mf.altera_device_families(body) -# Loading altera_mf.mf_pllpack(body) -# Loading ieee.std_logic_arith(body) -# Loading ieee.std_logic_unsigned(body) -# Loading altera_mf.altpll(behavior) -# Loading altera_mf.mf_stratixiii_pll(vital_pll) -# Loading altera_mf.mf_ttn_mn_cntr(behave) -# Loading altera_mf.mf_ttn_scale_cntr(behave) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_clk25_pll(stratixiv) -# Loading unb1_board_lib.unb1_board_clk25_pll(stratixiv) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_pll/work.tech_pll_clk25(str) -# Loading tech_pll_lib.tech_pll_clk25(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_pll_clk25/work.ip_stratixiv_pll_clk25(syn) -# Loading ip_stratixiv_pll_clk25_lib.ip_stratixiv_pll_clk25(syn) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_node_ctrl(str) -# Loading unb1_board_lib.unb1_board_node_ctrl(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_clk_rst(str) -# Loading unb1_board_lib.unb1_board_clk_rst(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_pulser_us_ms_s(str) -# Loading common_lib.common_pulser_us_ms_s(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_pulser(rtl) -# Loading common_lib.common_pulser(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_counter(rtl) -# Loading common_lib.common_counter(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_wdi_extend(str) -# Loading unb1_board_lib.unb1_board_wdi_extend(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_evt(rtl) -# Loading common_lib.common_evt(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.mms_unb1_board_system_info(str) -# Loading unb1_board_lib.mms_unb1_board_system_info(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_system_info(str) -# Loading unb1_board_lib.unb1_board_system_info(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_system_info_reg(rtl) -# Loading unb1_board_lib.unb1_board_system_info_reg(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_rom(str) -# Loading common_lib.common_rom(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_ram_r_w(str) -# Loading common_lib.common_ram_r_w(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_ram_rw_rw(str) -# Loading common_lib.common_ram_rw_rw(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_memory/work.tech_memory_component_pkg -# Loading tech_memory_lib.tech_memory_component_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_ram_crw_crw(str) -# Loading common_lib.common_ram_crw_crw(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_memory/work.tech_memory_ram_crw_crw(str) -# Loading tech_memory_lib.tech_memory_ram_crw_crw(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ram/work.ip_stratixiv_ram_crw_crw(syn) -# Loading ip_stratixiv_ram_lib.ip_stratixiv_ram_crw_crw(syn) -# Loading altera_mf.altera_common_conversion(body) -# Loading altera_mf.altsyncram(translated) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_pipeline(rtl) -# Loading common_lib.common_pipeline(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_toggle(rtl) -# Loading common_lib.common_toggle(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_wdi_reg(rtl) -# Loading unb1_board_lib.unb1_board_wdi_reg(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/remu/work.mms_remu(str) -# Loading remu_lib.mms_remu(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_flash/work.tech_flash_remote_update(str) -# Loading tech_flash_lib.tech_flash_remote_update(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_flash/work.ip_stratixiv_remote_update(rtl) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_remote_update(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_flash/work.ip_stratixiv_remote_update_rmtupdt_jol(rtl) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_remote_update_rmtupdt_jol(rtl) -# Loading lpm.lpm_components -# Loading lpm.lpm_common_conversion(body) -# Loading lpm.lpm_counter(lpm_syn) -# Loading ieee.vital_timing(body) -# Loading ieee.vital_primitives(body) -# Loading stratixiv.stratixiv_atom_pack(body) -# Loading stratixiv.stratixiv_rublock(architecture_rublock) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/remu/work.remu_reg(rtl) -# Loading remu_lib.remu_reg(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_spulse(rtl) -# Loading common_lib.common_spulse(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_switch(rtl) -# Loading common_lib.common_switch(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_reg_cross_domain(rtl) -# Loading common_lib.common_reg_cross_domain(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/epcs/work.mms_epcs(str) -# Loading epcs_lib.mms_epcs(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/epcs/work.epcs_reg(rtl) -# Loading epcs_lib.epcs_reg(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.dp_fifo_dc_mixed_widths(str) -# Loading dp_lib.dp_fifo_dc_mixed_widths(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_fifo/work.tech_fifo_component_pkg -# Loading tech_fifo_lib.tech_fifo_component_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_fifo_dc_mixed_widths(str) -# Loading common_lib.common_fifo_dc_mixed_widths(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_fifo/work.tech_fifo_dc_mixed_widths(str) -# Loading tech_fifo_lib.tech_fifo_dc_mixed_widths(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_fifo/work.ip_stratixiv_fifo_dc_mixed_widths(syn) -# Loading ip_stratixiv_fifo_lib.ip_stratixiv_fifo_dc_mixed_widths(syn) -# Loading altera_mf.altera_mf_hint_evaluation(body) -# Loading altera_mf.dcfifo_mixed_widths(behavior) -# Loading altera_mf.dcfifo_async(behavior) -# Loading altera_mf.dcfifo_dffpipe(behavior) -# Loading altera_mf.dcfifo_fefifo(behavior) -# Loading altera_mf.dcfifo_sync(behavior) -# Loading altera_mf.dcfifo_low_latency(behavior) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.dp_latency_adapter(rtl) -# Loading dp_lib.dp_latency_adapter(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_flash/work.tech_flash_asmi_parallel(str) -# Loading tech_flash_lib.tech_flash_asmi_parallel(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_flash/work.ip_stratixiv_asmi_parallel(rtl) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_asmi_parallel(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.def -# Loading numonyx_m25p128_lib.def -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.cuicommanddata(body) -# Loading numonyx_m25p128_lib.cuicommanddata(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.data -# Loading numonyx_m25p128_lib.data -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.timingdata -# Loading numonyx_m25p128_lib.timingdata -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.stringlib(body) -# Loading numonyx_m25p128_lib.stringlib(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.blocklib(body) -# Loading numonyx_m25p128_lib.blocklib(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_flash/work.ip_stratixiv_asmi_parallel_altasmi_parallel_15a2(rtl) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_asmi_parallel_altasmi_parallel_15a2(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.m25p128(behavior) -# Loading numonyx_m25p128_lib.m25p128(behavior) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.cuidecoder_entity(behavior) -# Loading numonyx_m25p128_lib.cuidecoder_entity(behavior) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.blocklock_entity(behavior) -# Loading numonyx_m25p128_lib.blocklock_entity(behavior) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.statusregister_entity(behavior) -# Loading numonyx_m25p128_lib.statusregister_entity(behavior) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.kernel_entity(behavior) -# Loading numonyx_m25p128_lib.kernel_entity(behavior) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.memorylib(body) -# Loading numonyx_m25p128_lib.memorylib(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.memory_entity(behavior) -# Loading numonyx_m25p128_lib.memory_entity(behavior) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.program_entity(behavior) -# Loading numonyx_m25p128_lib.program_entity(behavior) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.erase_entity(behavior) -# Loading numonyx_m25p128_lib.erase_entity(behavior) -# Loading ieee.std_logic_signed(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/numonyx_m25p128/work.timingcheck_entity(behavior) -# Loading numonyx_m25p128_lib.timingcheck_entity(behavior) -# Loading altera_mf.a_graycounter(behavior) -# Loading lpm.lpm_compare(lpm_syn) -# Loading lpm.lpm_compare_unsigned(lpm_syn) -# Loading altera_mf.scfifo(behavior) -# Loading stratixiv.stratixiv_asmiblock(architecture_asmiblock) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.mms_dp_fifo_to_mm(str) -# Loading dp_lib.mms_dp_fifo_to_mm(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.dp_fifo_to_mm(str) -# Loading dp_lib.dp_fifo_to_mm(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.dp_fifo_to_mm_reg(rtl) -# Loading dp_lib.dp_fifo_to_mm_reg(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.mms_dp_fifo_from_mm(str) -# Loading dp_lib.mms_dp_fifo_from_mm(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.dp_fifo_from_mm(str) -# Loading dp_lib.dp_fifo_from_mm(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.dp_fifo_from_mm_reg(rtl) -# Loading dp_lib.dp_fifo_from_mm_reg(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ppsh/work.mms_ppsh(str) -# Loading ppsh_lib.mms_ppsh(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ppsh/work.ppsh(rtl) -# Loading ppsh_lib.ppsh(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_iobuf/work.tech_iobuf_component_pkg -# Loading tech_iobuf_lib.tech_iobuf_component_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_ddio_in(str) -# Loading common_lib.common_ddio_in(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_iobuf/work.tech_iobuf_ddio_in(str) -# Loading tech_iobuf_lib.tech_iobuf_ddio_in(str) -# Loading altera_mf.altera_mf_components -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddio/work.ip_stratixiv_ddio_in(str) -# Loading ip_stratixiv_ddio_lib.ip_stratixiv_ddio_in(str) -# Loading altera_mf.altddio_in(behave) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_interval_monitor(rtl) -# Loading common_lib.common_interval_monitor(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_pipeline_sl(str) -# Loading common_lib.common_pipeline_sl(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_stable_monitor(rtl) -# Loading common_lib.common_stable_monitor(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ppsh/work.ppsh_reg(rtl) -# Loading ppsh_lib.ppsh_reg(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.mms_unb1_board_sens(str) -# Loading unb1_board_lib.mms_unb1_board_sens(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_sens_reg(rtl) -# Loading unb1_board_lib.unb1_board_sens_reg(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.i2c_smbus_pkg -# Loading i2c_lib.i2c_smbus_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_sens(str) -# Loading unb1_board_lib.unb1_board_sens(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_board/work.unb1_board_sens_ctrl(rtl) -# Loading unb1_board_lib.unb1_board_sens_ctrl(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.i2c_smbus(rtl) -# Loading i2c_lib.i2c_smbus(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.i2c_byte(structural) -# Loading i2c_lib.i2c_byte(structural) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.i2c_bit(rtl) -# Loading i2c_lib.i2c_bit(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_pulse_extend(rtl) -# Loading common_lib.common_pulse_extend(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work.mmm_unb1_test(str) -# Loading unb1_test_lib.mmm_unb1_test(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/mm/work.mm_file(str) -# Loading mm_lib.mm_file(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work.ddr_stream(str) -# Loading unb1_test_lib.ddr_stream(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/io_ddr/work.mms_io_ddr_diag(str) -# Loading io_ddr_lib.mms_io_ddr_diag(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/io_ddr/work.mms_io_ddr(str) -# Loading io_ddr_lib.mms_io_ddr(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_mem_mux(rtl) -# Loading common_lib.common_mem_mux(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/io_ddr/work.io_ddr(str) -# Loading io_ddr_lib.io_ddr(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/io_ddr/work.io_ddr_cross_domain(str) -# Loading io_ddr_lib.io_ddr_cross_domain(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.dp_latency_increase(rtl) -# Loading dp_lib.dp_latency_increase(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/dp/work.dp_flush(rtl) -# Loading dp_lib.dp_flush(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/io_ddr/work.io_ddr_driver_flush_ctrl(str) -# Loading io_ddr_lib.io_ddr_driver_flush_ctrl(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/io_ddr/work.io_ddr_driver(str) -# Loading io_ddr_lib.io_ddr_driver(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_ddr/work.tech_ddr_component_pkg(body) -# Loading tech_ddr_lib.tech_ddr_component_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_ddr/work.tech_ddr(str) -# Loading tech_ddr_lib.tech_ddr(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_ddr/work.tech_ddr_stratixiv(str) -# Loading tech_ddr_lib.tech_ddr_stratixiv(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002 -# Loading sv_std.std -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset_sync -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset_sync -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_datapath -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_fr_cycle_shifter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_fr_cycle_shifter -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_write_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_write_datapath -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads -# Loading altera_mf_ver.altddio_out -# Loading altera_mf_ver.ALTERA_DEVICE_FAMILIES -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.afi_mux_ddr3_ddrx -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.afi_mux_ddr3_ddrx -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# Loading altera_mf_ver.altsyncram -# Loading altera_mf_ver.ALTERA_MF_MEMORY_INITIALIZATION -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.sequencer_scc_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_mgr -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.sequencer_scc_reg_file -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_reg_file -# Loading altera_mf_ver.altdpram -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.sequencer_reg_file -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_reg_file -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.sequencer_phy_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_phy_mgr -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.sequencer_data_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_data_mgr -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_ddr3 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_ddr3 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_generic -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_generic -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_core -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_core -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_di_buffer_wrap -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_di_buffer_wrap -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_di_buffer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_di_buffer -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_write_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_write_decoder -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_data_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_data_decoder -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_dm_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_dm_decoder -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_lfsr12 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_lfsr12 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_read_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_read_datapath -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_bitcheck -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_bitcheck -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_pattern_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_pattern_fifo -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_data_broadcast -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_data_broadcast -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_jumplogic -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_jumplogic -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_mem_if_sequencer_mem_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_mem_no_ifdef_params -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_merlin_master_translator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_master_translator -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_merlin_slave_translator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_slave_translator -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_merlin_slave_agent -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_slave_agent -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_merlin_burst_uncompressor -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_burst_uncompressor -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_avalon_sc_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_avalon_sc_fifo -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_merlin_master_agent -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_master_agent -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_default_decode -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001_default_decode -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_default_decode -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003_default_decode -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_reset_controller -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_reset_controller -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux_001 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux_001 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_mux_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_mux_003 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_merlin_arbitrator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_arbitrator -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_merlin_arb_adder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_arb_adder -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_demux_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_demux_003 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_mux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_mux -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_irq_mapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_irq_mapper -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_if_nextgen_ddr3_controller_core -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_if_nextgen_ddr3_controller_core -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_controller_st_top -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_controller_st_top -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_controller -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_controller -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_input_if -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_input_if -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_cmd_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_cmd_gen -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_tbp -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_tbp -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_arbiter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_arbiter -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_burst_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_burst_gen -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_addr_cmd_wrap -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_addr_cmd_wrap -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_rdwr_data_tmg -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_rdwr_data_tmg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_wdata_path -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_wdata_path -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_list -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_list -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_burst_tracking -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_burst_tracking -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_dataid_manager -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_dataid_manager -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_fifo -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_rdata_path -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_rdata_path -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_sideband -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_sideband -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_rank_timer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_rank_timer -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_timing_param -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_timing_param -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_mm_st_converter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_mm_st_converter -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_mem_if_oct_stratixiv -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_oct_stratixiv -# Loading stratixiv_ver.stratixiv_termination -# Loading stratixiv_ver.stratixiv_termination_aux_clock_div -# Loading stratixiv_ver.stratixiv_rt_sm -# Loading stratixiv_ver.stratixiv_termination_logic -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_mem_if_dll_stratixiv -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_dll_stratixiv -# Loading stratixiv_ver.stratixiv_dll -# Loading altera_lnsim_ver.altera_lnsim_functions -# Loading altera_lnsim_ver.altera_pll -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_valid_selector -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_valid_selector -# Loading lpm_ver.lpm_decode -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_flop_mem -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_flop_mem -# Loading lpm_ver.lpm_mux -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_clock_pair_generator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_clock_pair_generator -# Loading stratixiv_ver.stratixiv_io_obuf -# Loading stratixiv_ver.stratixiv_pseudo_diff_out -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.sequencer_scc_siii_wrapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_siii_wrapper -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.sequencer_scc_siii_phase_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_siii_phase_decode -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_lfsr36 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_lfsr36 -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_inst_ROM_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_inst_ROM_no_ifdef_params -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_ac_ROM_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_ac_ROM_no_ifdef_params -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.rw_manager_datamux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_datamux -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altera_reset_synchronizer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_reset_synchronizer -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_addr_cmd -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_addr_cmd -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_odt_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_odt_gen -# Loading altera_mf_ver.scfifo -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_buffer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_buffer -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_ecc_encoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ecc_encoder -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_ecc_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ecc_decoder -# Loading altera_lnsim_ver.altera_generic_pll_functions -# Loading altera_lnsim_ver.generic_pll -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altdq_dqs2_abstract -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altdq_dqs2_abstract -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_ddr2_odt_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ddr2_odt_gen -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.alt_mem_ddrx_ddr3_odt_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ddr3_odt_gen -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/work.altdq_dqs2_cal_delays -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altdq_dqs2_cal_delays -# Loading stratixiv_ver.stratixiv_io_config -# Loading stratixiv_ver.stratixiv_dqs_config -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_reg_r_w_dc(str) -# Loading common_lib.common_reg_r_w_dc(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/common/work.common_reg_r_w(rtl) -# Loading common_lib.common_reg_r_w(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/io_ddr/work.io_ddr_reg(rtl) -# Loading io_ddr_lib.io_ddr_reg(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/diag/work.mms_diag_block_gen(rtl) -# Loading diag_lib.mms_diag_block_gen(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/diag/work.mms_diag_tx_seq(str) -# Loading diag_lib.mms_diag_tx_seq(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/diag/work.diag_tx_seq(rtl) -# Loading diag_lib.diag_tx_seq(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/diag/work.mms_diag_data_buffer(str) -# Loading diag_lib.mms_diag_data_buffer(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/diag/work.mms_diag_rx_seq(str) -# Loading diag_lib.mms_diag_rx_seq(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/diag/work.diag_rx_seq(rtl) -# Loading diag_lib.diag_rx_seq(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.dev_max1618(beh) -# Loading i2c_lib.dev_max1618(beh) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.i2c_slv_device(beh) -# Loading i2c_lib.i2c_slv_device(beh) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/i2c/work.dev_ltc4260(beh) -# Loading i2c_lib.dev_ltc4260(beh) -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller.v(1830): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller.v(1830): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -as 10 -run 500us -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(3) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(2) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(1) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(0) -# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_rl/gen_fifo -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_rl/gen_fifo -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(3) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(2) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(1) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(0) -# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_rl/gen_fifo -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_rl/gen_fifo -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed -# [0 ns ] $UNB/Software/python/sim/sim.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_TR_XAUI.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_TR_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_AVS_ETH_0_MMS_REG.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_RAM_DIAG_DATA_BUFFER_DDR_MB_II.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_DATA_BUFFER_DDR_MB_II.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_RX_SEQ_DDR_MB_II.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_TX_SEQ_DDR_MB_II.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_II.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_RAM_DIAG_DATA_BUFFER_DDR_MB_I.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_DATA_BUFFER_DDR_MB_I.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_RX_SEQ_DDR_MB_I.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_TX_SEQ_DDR_MB_I.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_RX_SEQ_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_RAM_DIAG_DATA_BUFFER_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_DATA_BUFFER_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_RX_SEQ_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_RAM_DIAG_DATA_BUFFER_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_DATA_BUFFER_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_BSN_MONITOR_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_BSN_MONITOR_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DP_OFFLOAD_RX_10GBE_HDR_DAT.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DP_OFFLOAD_RX_1GBE_HDR_DAT.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DP_OFFLOAD_TX_10GBE_HDR_DAT.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DP_OFFLOAD_TX_1GBE_HDR_DAT.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DP_OFFLOAD_TX_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DP_OFFLOAD_TX_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_TX_SEQ_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_RAM_DIAG_BG_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_BG_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_TX_SEQ_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_RAM_DIAG_BG_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_BG_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_PIO_PPS.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_UNB_SENS.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_WDI.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_ROM_SYSTEM_INFO.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_PIO_SYSTEM_INFO.ctrl: Created -# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_sens -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_sens/u_unb1_board_sens/u_i2c_smbus -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_sens/u_unb1_board_sens/u_i2c_smbus -# ** Warning: NUMERIC_STD."=": null argument detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_sens/u_unb1_board_sens/u_i2c_smbus/u_comma_sc_low -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_sens/u_unb1_board_sens/u_i2c_smbus/byte -# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_ppsh/u_ppsh -# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_ppsh/u_ppsh/u_capture_cnt -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr5/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr5/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr5/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr5/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr4/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr4/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr4/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr4/l1/u1 -# [0 ns ] Load Memory File: ../ip_stratixiv_flash/memory_file -# [0 ns ] -- W Signal: VPP range -> Fast PP/SE/BE/WRSR Operations are allowed -- -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/u_unb1_board_system_info -# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_unb1_board_node_ctrl/u_common_pulser_us_ms_s/u_common_pulser_us -# Using Fast pll emif simulation models -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[0].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[0].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[1].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[1].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 2500 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 1250.000000 -# Info: output_clock_low_period = 1250.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[2].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[2].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 2500 ps -# Info: phase_shift = 625 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 1250.000000 -# Info: output_clock_low_period = 1250.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[3].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[3].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 3750 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[4].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[4].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 10000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 5000.000000 -# Info: output_clock_low_period = 5000.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[5].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[5].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 10000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 5000.000000 -# Info: output_clock_low_period = 5000.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[6].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[6].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 40000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 20000.000000 -# Info: output_clock_low_period = 20000.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[7].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[7].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[8].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[8].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[9].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[9].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Using Fast core emif simulation models -# Note: DLL instance tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.dll0.dll_wys_m has input frequency 2500 ps -# sim_valid_lock 16 -# sim_valid_lockcount 0 -# sim_low_buffer_intrinsic_delay 175 -# sim_high_buffer_intrinsic_delay 175 -# delay_buffer_mode HIGH -# sim_buffer_intrinsic_delay 175 -# sim_buffer_delay_increment 10 -# delay_chain_length 8 -# delayctrlout_mode normal -# static_delay_ctrl 8 -# use_jitter_reduction true -# use_upndnin false -# use_upndninclkena false -# Using Fast pll emif simulation models -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[0].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[0].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[1].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[1].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 2500 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 1250.000000 -# Info: output_clock_low_period = 1250.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[2].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[2].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 2500 ps -# Info: phase_shift = 625 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 1250.000000 -# Info: output_clock_low_period = 1250.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[3].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[3].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 3750 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[4].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[4].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 10000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 5000.000000 -# Info: output_clock_low_period = 5000.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[5].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[5].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 10000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 5000.000000 -# Info: output_clock_low_period = 5000.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[6].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[6].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 40000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 20000.000000 -# Info: output_clock_low_period = 20000.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[7].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[7].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[8].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[8].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[9].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[9].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Using Fast core emif simulation models -# Note: DLL instance tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.dll0.dll_wys_m has input frequency 2500 ps -# sim_valid_lock 16 -# sim_valid_lockcount 0 -# sim_low_buffer_intrinsic_delay 175 -# sim_high_buffer_intrinsic_delay 175 -# delay_buffer_mode HIGH -# sim_buffer_intrinsic_delay 175 -# sim_buffer_delay_increment 10 -# delay_chain_length 8 -# delayctrlout_mode normal -# static_delay_ctrl 8 -# use_jitter_reduction true -# use_upndnin false -# use_upndninclkena false -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 1 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_sens/u_unb1_board_sens/u_i2c_smbus -# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 1 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_ppsh/u_ppsh -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 2 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_diag_block_gen/gen_tx_seq/u_mms_diag_tx_seq/gen_nof_streams(0)/u_diag_tx_seq -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 2 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_diag_block_gen/gen_tx_seq/u_mms_diag_tx_seq/gen_nof_streams(0)/u_diag_tx_seq -# [0 ns ] -- S Signal: HIGH -> The Chip is NOT selected -- -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 2 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr4/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 2 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr4/l1/u1 -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 3 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_diag_block_gen/gen_tx_seq/u_mms_diag_tx_seq/gen_nof_streams(0)/u_diag_tx_seq -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 3 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_diag_block_gen/gen_tx_seq/u_mms_diag_tx_seq/gen_nof_streams(0)/u_diag_tx_seq -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 4 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs -# ** Note: Stratix IV PLL was reset -# Time: 0 fs Iteration: 5 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/gen_pll/u_unb1_board_clk200_pll/gen_0/u_st_pll/gen_ip_stratixiv/u0/altpll_component/stratixiii_altpll/m4 -# ** Note: Stratix IV PLL was reset -# Time: 0 fs Iteration: 5 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/gen_clk25_pll/u_unb1_board_clk25_pll/u_pll/gen_ip_stratixiv/u0/altpll_component/stratixiii_altpll/m4 -# ** Note: Stratix IV PLL locked to incoming clock -# Time: 300 ns Iteration: 3 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/gen_clk25_pll/u_unb1_board_clk25_pll/u_pll/gen_ip_stratixiv/u0/altpll_component/stratixiii_altpll/m4 -# ** Note: Stratix IV PLL locked to incoming clock -# Time: 352500 ps Iteration: 3 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/gen_pll/u_unb1_board_clk200_pll/gen_0/u_st_pll/gen_ip_stratixiv/u0/altpll_component/stratixiii_altpll/m4 -# 573125 Note : DLL instance tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.dll0.dll_wys_m to lock to incoming clock per sim_valid_lock half clock cycles. -# 573125 Note : DLL instance tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.dll0.dll_wys_m to lock to incoming clock per sim_valid_lock half clock cycles. -# 622500000, [GENERIC ASSERT] AC_MASKED_BUS_WIDTH PARAMETER is correct -# 622500000, [GENERIC ASSERT] AC_MASKED_BUS_WIDTH PARAMETER is correct -quit -quit -sim -lp ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -lp unb1_test_ddr_MB_I_II -# reading /home/software/Mentor/6.6c/modeltech/linux_x86_64/../modelsim.ini -# Loading project unb1_test_ddr_MB_I_II -# unb1_test_ddr_MB_I_II -mk all -# technology ip_stratixiv_ram tech_memory ip_stratixiv_fifo tech_fifo ip_stratixiv_ddio tech_iobuf tst common mm easics dp diag uth ppsh i2c diagnostics ip_stratixiv_transceiver tech_transceiver tr_nonbonded ip_stratixiv_tse_sgmii_lvds ip_stratixiv_tse_sgmii_gx tech_tse eth numonyx_m25p128 ip_stratixiv_flash tech_flash remu ip_stratixiv_pll ip_stratixiv_pll_clk25 tech_pll epcs unb1_board ip_stratixiv_mac_10g tech_mac_10g tech_10gbase_r ip_stratixiv_phy_xaui tech_xaui tech_eth_10g mdio tr_xaui tr_10GbE ip_stratixiv_ddr3_uphy_4g_800_master ip_stratixiv_ddr3_uphy_4g_800_slave ip_stratixiv_ddr3_uphy_4g_single_rank_800_master ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave ip_stratixiv_ddr3_mem_model tech_ddr io_ddr reorder unb1_test unb1_test_ddr_MB_I_II -# [mk make technology] -# -# [mk make ip_stratixiv_ram] -# -# [mk make tech_memory] -# -# [mk make ip_stratixiv_fifo] -# -# [mk make tech_fifo] -# -# [mk make ip_stratixiv_ddio] -# -# [mk make tech_iobuf] -# -# [mk make tst] -# -# [mk make common] -# -# [mk make mm] -# -# [mk make easics] -# -# [mk make dp] -# -# [mk make diag] -# -# [mk make uth] -# -# [mk make ppsh] -# -# [mk make i2c] -# -# [mk make diagnostics] -# -# [mk make ip_stratixiv_transceiver] -# -# [mk make tech_transceiver] -# -# [mk make tr_nonbonded] -# -# [mk make ip_stratixiv_tse_sgmii_lvds] -# -# [mk make ip_stratixiv_tse_sgmii_gx] -# -# [mk make tech_tse] -# -# [mk make eth] -# -# [mk make numonyx_m25p128] -# -# [mk make ip_stratixiv_flash] -# -# [mk make tech_flash] -# -# [mk make remu] -# -# [mk make ip_stratixiv_pll] -# -# [mk make ip_stratixiv_pll_clk25] -# -# [mk make tech_pll] -# -# [mk make epcs] -# -# [mk make unb1_board] -# -# [mk make ip_stratixiv_mac_10g] -# -# [mk make tech_mac_10g] -# -# [mk make tech_10gbase_r] -# -# [mk make ip_stratixiv_phy_xaui] -# -# [mk make tech_xaui] -# -# [mk make tech_eth_10g] -# -# [mk make mdio] -# -# [mk make tr_xaui] -# -# [mk make tr_10GbE] -# -# [mk make ip_stratixiv_ddr3_uphy_4g_800_master] -# -# [mk make ip_stratixiv_ddr3_uphy_4g_800_slave] -# -# [mk make ip_stratixiv_ddr3_uphy_4g_single_rank_800_master] -# -# [mk make ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave] -# -# [mk make ip_stratixiv_ddr3_mem_model] -# -# [mk make tech_ddr] -# -# [mk make io_ddr] -# -# [mk make reorder] -# -# [mk make unb1_test] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package tech_ddr_mem_model_component_pkg -# -- Compiling entity tb_unb1_test -# -- Compiling architecture tb of tb_unb1_test -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package diag_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Loading package reorder_pkg -# -- Loading package unb1_test_pkg -# -- Loading entity unb1_test -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(210): near "MB_II_IN": expecting ',' or ')' -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(218): Statement cannot be labeled. -# -- Loading entity tech_ddr_memory_model -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(224): (vcom-1136) Unknown identifier "phy_mb_i_ou". -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(225): (vcom-1136) Unknown identifier "phy_mb_i_io". -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(226): (vcom-1136) Unknown identifier "phy_mb_i_in". -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(233): Symbol "gen_tech_ddr_memory_model" has already been declared in this region. -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(239): (vcom-1136) Unknown identifier "phy_mb_ii_ou". -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(240): (vcom-1136) Unknown identifier "phy_mb_ii_io". -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(241): (vcom-1136) Unknown identifier "phy_mb_ii_in". -# -- Loading package i2c_dev_max1617_pkg -# -- Loading entity dev_max1618 -# -- Loading package i2c_dev_ltc4260_pkg -# -- Loading entity dev_ltc4260 -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(283): VHDL Compiler exiting -# makefile:185: recipe for target '/home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work/tb_unb1_test/tb.dat' failed -# make: *** [/home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work/tb_unb1_test/tb.dat] Error 2 -# make: Target 'whole_library' not remade because of errors. -# [mk make unb1_test_ddr_MB_I_II] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_unb1_test_ddr_mb_i_ii -# -- Compiling architecture tb of tb_unb1_test_ddr_mb_i_ii -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package tech_ddr_mem_model_component_pkg -# -- Loading entity tb_unb1_test -# unb1_test_ddr_MB_I_II -mk all -# technology ip_stratixiv_ram tech_memory ip_stratixiv_fifo tech_fifo ip_stratixiv_ddio tech_iobuf tst common mm easics dp diag uth ppsh i2c diagnostics ip_stratixiv_transceiver tech_transceiver tr_nonbonded ip_stratixiv_tse_sgmii_lvds ip_stratixiv_tse_sgmii_gx tech_tse eth numonyx_m25p128 ip_stratixiv_flash tech_flash remu ip_stratixiv_pll ip_stratixiv_pll_clk25 tech_pll epcs unb1_board ip_stratixiv_mac_10g tech_mac_10g tech_10gbase_r ip_stratixiv_phy_xaui tech_xaui tech_eth_10g mdio tr_xaui tr_10GbE ip_stratixiv_ddr3_uphy_4g_800_master ip_stratixiv_ddr3_uphy_4g_800_slave ip_stratixiv_ddr3_uphy_4g_single_rank_800_master ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave ip_stratixiv_ddr3_mem_model tech_ddr io_ddr reorder unb1_test unb1_test_ddr_MB_I_II -# [mk make technology] -# -# [mk make ip_stratixiv_ram] -# -# [mk make tech_memory] -# -# [mk make ip_stratixiv_fifo] -# -# [mk make tech_fifo] -# -# [mk make ip_stratixiv_ddio] -# -# [mk make tech_iobuf] -# -# [mk make tst] -# -# [mk make common] -# -# [mk make mm] -# -# [mk make easics] -# -# [mk make dp] -# -# [mk make diag] -# -# [mk make uth] -# -# [mk make ppsh] -# -# [mk make i2c] -# -# [mk make diagnostics] -# -# [mk make ip_stratixiv_transceiver] -# -# [mk make tech_transceiver] -# -# [mk make tr_nonbonded] -# -# [mk make ip_stratixiv_tse_sgmii_lvds] -# -# [mk make ip_stratixiv_tse_sgmii_gx] -# -# [mk make tech_tse] -# -# [mk make eth] -# -# [mk make numonyx_m25p128] -# -# [mk make ip_stratixiv_flash] -# -# [mk make tech_flash] -# -# [mk make remu] -# -# [mk make ip_stratixiv_pll] -# -# [mk make ip_stratixiv_pll_clk25] -# -# [mk make tech_pll] -# -# [mk make epcs] -# -# [mk make unb1_board] -# -# [mk make ip_stratixiv_mac_10g] -# -# [mk make tech_mac_10g] -# -# [mk make tech_10gbase_r] -# -# [mk make ip_stratixiv_phy_xaui] -# -# [mk make tech_xaui] -# -# [mk make tech_eth_10g] -# -# [mk make mdio] -# -# [mk make tr_xaui] -# -# [mk make tr_10GbE] -# -# [mk make ip_stratixiv_ddr3_uphy_4g_800_master] -# -# [mk make ip_stratixiv_ddr3_uphy_4g_800_slave] -# -# [mk make ip_stratixiv_ddr3_uphy_4g_single_rank_800_master] -# -# [mk make ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave] -# -# [mk make ip_stratixiv_ddr3_mem_model] -# -# [mk make tech_ddr] -# -# [mk make io_ddr] -# -# [mk make reorder] -# -# [mk make unb1_test] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package tech_ddr_mem_model_component_pkg -# -- Compiling entity tb_unb1_test -# -- Compiling architecture tb of tb_unb1_test -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package diag_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Loading package reorder_pkg -# -- Loading package unb1_test_pkg -# -- Loading entity unb1_test -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(210): near "MB_II_IN": expecting ',' or ')' -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(218): Statement cannot be labeled. -# -- Loading entity tech_ddr_memory_model -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(224): (vcom-1136) Unknown identifier "phy_mb_i_ou". -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(225): (vcom-1136) Unknown identifier "phy_mb_i_io". -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(226): (vcom-1136) Unknown identifier "phy_mb_i_in". -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(239): (vcom-1136) Unknown identifier "phy_mb_ii_ou". -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(240): (vcom-1136) Unknown identifier "phy_mb_ii_io". -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(241): (vcom-1136) Unknown identifier "phy_mb_ii_in". -# -- Loading package i2c_dev_max1617_pkg -# -- Loading entity dev_max1618 -# -- Loading package i2c_dev_ltc4260_pkg -# -- Loading entity dev_ltc4260 -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(283): VHDL Compiler exiting -# makefile:185: recipe for target '/home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work/tb_unb1_test/tb.dat' failed -# make: *** [/home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work/tb_unb1_test/tb.dat] Error 2 -# make: Target 'whole_library' not remade because of errors. -# [mk make unb1_test_ddr_MB_I_II] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_unb1_test_ddr_mb_i_ii -# -- Compiling architecture tb of tb_unb1_test_ddr_mb_i_ii -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package tech_ddr_mem_model_component_pkg -# -- Loading entity tb_unb1_test -# unb1_test_ddr_MB_I_II -mk all -# technology ip_stratixiv_ram tech_memory ip_stratixiv_fifo tech_fifo ip_stratixiv_ddio tech_iobuf tst common mm easics dp diag uth ppsh i2c diagnostics ip_stratixiv_transceiver tech_transceiver tr_nonbonded ip_stratixiv_tse_sgmii_lvds ip_stratixiv_tse_sgmii_gx tech_tse eth numonyx_m25p128 ip_stratixiv_flash tech_flash remu ip_stratixiv_pll ip_stratixiv_pll_clk25 tech_pll epcs unb1_board ip_stratixiv_mac_10g tech_mac_10g tech_10gbase_r ip_stratixiv_phy_xaui tech_xaui tech_eth_10g mdio tr_xaui tr_10GbE ip_stratixiv_ddr3_uphy_4g_800_master ip_stratixiv_ddr3_uphy_4g_800_slave ip_stratixiv_ddr3_uphy_4g_single_rank_800_master ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave ip_stratixiv_ddr3_mem_model tech_ddr io_ddr reorder unb1_test unb1_test_ddr_MB_I_II -# [mk make technology] -# -# [mk make ip_stratixiv_ram] -# -# [mk make tech_memory] -# -# [mk make ip_stratixiv_fifo] -# -# [mk make tech_fifo] -# -# [mk make ip_stratixiv_ddio] -# -# [mk make tech_iobuf] -# -# [mk make tst] -# -# [mk make common] -# -# [mk make mm] -# -# [mk make easics] -# -# [mk make dp] -# -# [mk make diag] -# -# [mk make uth] -# -# [mk make ppsh] -# -# [mk make i2c] -# -# [mk make diagnostics] -# -# [mk make ip_stratixiv_transceiver] -# -# [mk make tech_transceiver] -# -# [mk make tr_nonbonded] -# -# [mk make ip_stratixiv_tse_sgmii_lvds] -# -# [mk make ip_stratixiv_tse_sgmii_gx] -# -# [mk make tech_tse] -# -# [mk make eth] -# -# [mk make numonyx_m25p128] -# -# [mk make ip_stratixiv_flash] -# -# [mk make tech_flash] -# -# [mk make remu] -# -# [mk make ip_stratixiv_pll] -# -# [mk make ip_stratixiv_pll_clk25] -# -# [mk make tech_pll] -# -# [mk make epcs] -# -# [mk make unb1_board] -# -# [mk make ip_stratixiv_mac_10g] -# -# [mk make tech_mac_10g] -# -# [mk make tech_10gbase_r] -# -# [mk make ip_stratixiv_phy_xaui] -# -# [mk make tech_xaui] -# -# [mk make tech_eth_10g] -# -# [mk make mdio] -# -# [mk make tr_xaui] -# -# [mk make tr_10GbE] -# -# [mk make ip_stratixiv_ddr3_uphy_4g_800_master] -# -# [mk make ip_stratixiv_ddr3_uphy_4g_800_slave] -# -# [mk make ip_stratixiv_ddr3_uphy_4g_single_rank_800_master] -# -# [mk make ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave] -# -# [mk make ip_stratixiv_ddr3_mem_model] -# -# [mk make tech_ddr] -# -# [mk make io_ddr] -# -# [mk make reorder] -# -# [mk make unb1_test] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package tech_ddr_mem_model_component_pkg -# -- Compiling entity tb_unb1_test -# -- Compiling architecture tb of tb_unb1_test -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package diag_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Loading package reorder_pkg -# -- Loading package unb1_test_pkg -# -- Loading entity unb1_test -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(215): near "MB_II_IN": expecting ',' or ')' -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(223): Statement cannot be labeled. -# -- Loading entity tech_ddr_memory_model -# -- Loading package i2c_dev_max1617_pkg -# -- Loading entity dev_max1618 -# -- Loading package i2c_dev_ltc4260_pkg -# -- Loading entity dev_ltc4260 -# ** Error: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/boards/uniboard1/designs/unb1_test/tb/vhdl/tb_unb1_test.vhd(288): VHDL Compiler exiting -# makefile:185: recipe for target '/home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work/tb_unb1_test/tb.dat' failed -# make: *** [/home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work/tb_unb1_test/tb.dat] Error 2 -# make: Target 'whole_library' not remade because of errors. -# [mk make unb1_test_ddr_MB_I_II] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_unb1_test_ddr_mb_i_ii -# -- Compiling architecture tb of tb_unb1_test_ddr_mb_i_ii -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package tech_ddr_mem_model_component_pkg -# -- Loading entity tb_unb1_test -# unb1_test_ddr_MB_I_II -mk all -# technology ip_stratixiv_ram tech_memory ip_stratixiv_fifo tech_fifo ip_stratixiv_ddio tech_iobuf tst common mm easics dp diag uth ppsh i2c diagnostics ip_stratixiv_transceiver tech_transceiver tr_nonbonded ip_stratixiv_tse_sgmii_lvds ip_stratixiv_tse_sgmii_gx tech_tse eth numonyx_m25p128 ip_stratixiv_flash tech_flash remu ip_stratixiv_pll ip_stratixiv_pll_clk25 tech_pll epcs unb1_board ip_stratixiv_mac_10g tech_mac_10g tech_10gbase_r ip_stratixiv_phy_xaui tech_xaui tech_eth_10g mdio tr_xaui tr_10GbE ip_stratixiv_ddr3_uphy_4g_800_master ip_stratixiv_ddr3_uphy_4g_800_slave ip_stratixiv_ddr3_uphy_4g_single_rank_800_master ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave ip_stratixiv_ddr3_mem_model tech_ddr io_ddr reorder unb1_test unb1_test_ddr_MB_I_II -# [mk make technology] -# -# [mk make ip_stratixiv_ram] -# -# [mk make tech_memory] -# -# [mk make ip_stratixiv_fifo] -# -# [mk make tech_fifo] -# -# [mk make ip_stratixiv_ddio] -# -# [mk make tech_iobuf] -# -# [mk make tst] -# -# [mk make common] -# -# [mk make mm] -# -# [mk make easics] -# -# [mk make dp] -# -# [mk make diag] -# -# [mk make uth] -# -# [mk make ppsh] -# -# [mk make i2c] -# -# [mk make diagnostics] -# -# [mk make ip_stratixiv_transceiver] -# -# [mk make tech_transceiver] -# -# [mk make tr_nonbonded] -# -# [mk make ip_stratixiv_tse_sgmii_lvds] -# -# [mk make ip_stratixiv_tse_sgmii_gx] -# -# [mk make tech_tse] -# -# [mk make eth] -# -# [mk make numonyx_m25p128] -# -# [mk make ip_stratixiv_flash] -# -# [mk make tech_flash] -# -# [mk make remu] -# -# [mk make ip_stratixiv_pll] -# -# [mk make ip_stratixiv_pll_clk25] -# -# [mk make tech_pll] -# -# [mk make epcs] -# -# [mk make unb1_board] -# -# [mk make ip_stratixiv_mac_10g] -# -# [mk make tech_mac_10g] -# -# [mk make tech_10gbase_r] -# -# [mk make ip_stratixiv_phy_xaui] -# -# [mk make tech_xaui] -# -# [mk make tech_eth_10g] -# -# [mk make mdio] -# -# [mk make tr_xaui] -# -# [mk make tr_10GbE] -# -# [mk make ip_stratixiv_ddr3_uphy_4g_800_master] -# -# [mk make ip_stratixiv_ddr3_uphy_4g_800_slave] -# -# [mk make ip_stratixiv_ddr3_uphy_4g_single_rank_800_master] -# -# [mk make ip_stratixiv_ddr3_uphy_4g_single_rank_800_slave] -# -# [mk make ip_stratixiv_ddr3_mem_model] -# -# [mk make tech_ddr] -# -# [mk make io_ddr] -# -# [mk make reorder] -# -# [mk make unb1_test] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package tech_ddr_mem_model_component_pkg -# -- Compiling entity tb_unb1_test -# -- Compiling architecture tb of tb_unb1_test -# -- Loading package common_mem_pkg -# -- Loading package common_interface_layers_pkg -# -- Loading package common_network_layers_pkg -# -- Loading package common_str_pkg -# -- Loading package common_field_pkg -# -- Loading package diag_pkg -# -- Loading package tech_tse_pkg -# -- Loading package eth_pkg -# -- Loading package reorder_pkg -# -- Loading package unb1_test_pkg -# -- Loading entity unb1_test -# -- Loading entity tech_ddr_memory_model -# -- Loading package i2c_dev_max1617_pkg -# -- Loading entity dev_max1618 -# -- Loading package i2c_dev_ltc4260_pkg -# -- Loading entity dev_ltc4260 -# [mk make unb1_test_ddr_MB_I_II] -# Model Technology ModelSim SE-64 vcom 6.6c Compiler 2010.08 Aug 23 2010 -# -- Loading package standard -# -- Loading package std_logic_1164 -# -- Compiling entity tb_unb1_test_ddr_mb_i_ii -# -- Compiling architecture tb of tb_unb1_test_ddr_mb_i_ii -# -- Loading package numeric_std -# -- Loading package math_real -# -- Loading package common_pkg -# -- Loading package dp_stream_pkg -# -- Loading package unb1_board_pkg -# -- Loading package textio -# -- Loading package std_logic_textio -# -- Loading package tb_common_pkg -# -- Loading package technology_pkg -# -- Loading package tech_ddr_pkg -# -- Loading package tech_ddr_mem_model_component_pkg -# -- Loading entity tb_unb1_test -# unb1_test_ddr_MB_I_II -# Compile of unb1_test_ddr_MB_I_II.vhd was successful. -# Compile of tb_unb1_test_ddr_MB_I_II.vhd was successful. -# 2 compiles, 0 failed with no errors. -vsim -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L stratixiv_ver -L stratixiv_hssi_ver -L stratixiv_pcie_hip_ver -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L stratixiv -L stratixiv_hssi -L stratixiv_pcie_hip -novopt work.tb_unb1_test_ddr_MB_I_II -# vsim +nowarn8684 +nowarn8683 +nowarnTFMPC +nowarnPCDPC -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L stratixiv_ver -L stratixiv_hssi_ver -L stratixiv_pcie_hip_ver -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L stratixiv -L stratixiv_hssi -L stratixiv_pcie_hip -novopt work.tb_unb1_test_ddr_MB_I_II -# Loading std.standard -# Loading ieee.std_logic_1164(body) -# Loading ieee.numeric_std(body) -# Loading ieee.math_real(body) -# Loading common_lib.common_pkg(body) -# Loading dp_lib.dp_stream_pkg(body) -# Loading unb1_board_lib.unb1_board_pkg(body) -# Loading std.textio(body) -# Loading ieee.std_logic_textio(body) -# Loading common_lib.tb_common_pkg(body) -# Loading technology_lib.technology_pkg(body) -# Loading tech_ddr_lib.tech_ddr_pkg(body) -# Loading tech_ddr_lib.tech_ddr_mem_model_component_pkg(body) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test_ddr_MB_I_II/work.tb_unb1_test_ddr_mb_i_ii(tb) -# Loading work.tb_unb1_test_ddr_mb_i_ii(tb) -# Loading common_lib.common_mem_pkg(body) -# Loading common_lib.common_interface_layers_pkg(body) -# Loading common_lib.common_network_layers_pkg(body) -# Loading common_lib.common_str_pkg(body) -# Loading common_lib.common_field_pkg(body) -# Loading diag_lib.diag_pkg(body) -# Loading tech_tse_lib.tech_tse_pkg(body) -# Loading eth_lib.eth_pkg(body) -# Loading reorder_lib.reorder_pkg(body) -# Loading unb1_test_lib.unb1_test_pkg -# Loading i2c_lib.i2c_dev_max1617_pkg -# Loading i2c_lib.i2c_dev_ltc4260_pkg -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/unb1_test/work.tb_unb1_test(tb) -# Loading unb1_test_lib.tb_unb1_test(tb) -# Loading i2c_lib.i2c_pkg(body) -# Loading common_lib.tb_common_mem_pkg(body) -# Loading common_lib.common_network_total_header_pkg(body) -# Loading unb1_board_lib.unb1_board_peripherals_pkg(body) -# Loading mm_lib.mm_file_pkg(body) -# Loading mm_lib.mm_file_unb_pkg(body) -# Loading common_lib.common_lfsr_sequences_pkg(body) -# Loading dp_lib.tb_dp_pkg(body) -# Loading tech_tse_lib.tb_tech_tse_pkg(body) -# Loading unb1_test_lib.qsys_unb1_test_pkg -# Loading technology_lib.technology_select_pkg -# Loading tech_mac_10g_lib.tech_mac_10g_component_pkg(body) -# Loading unb1_test_lib.unb1_test(str) -# Loading common_lib.common_areset(str) -# Loading common_lib.common_async(rtl) -# Loading tech_flash_lib.tech_flash_component_pkg(body) -# Loading unb1_board_lib.ctrl_unb1_board(str) -# Loading tech_pll_lib.tech_pll_component_pkg -# Loading unb1_board_lib.unb1_board_clk200_pll(stratix4) -# Loading tech_pll_lib.tech_pll_clk200(str) -# Loading ip_stratixiv_pll_lib.ip_stratixiv_pll_clk200(syn) -# Loading altera_mf.altera_device_families(body) -# Loading altera_mf.mf_pllpack(body) -# Loading ieee.std_logic_arith(body) -# Loading ieee.std_logic_unsigned(body) -# Loading altera_mf.altpll(behavior) -# Loading altera_mf.mf_stratixiii_pll(vital_pll) -# Loading altera_mf.mf_ttn_mn_cntr(behave) -# Loading altera_mf.mf_ttn_scale_cntr(behave) -# Loading unb1_board_lib.unb1_board_clk25_pll(stratixiv) -# Loading tech_pll_lib.tech_pll_clk25(str) -# Loading ip_stratixiv_pll_clk25_lib.ip_stratixiv_pll_clk25(syn) -# Loading unb1_board_lib.unb1_board_node_ctrl(str) -# Loading unb1_board_lib.unb1_board_clk_rst(str) -# Loading common_lib.common_pulser_us_ms_s(str) -# Loading common_lib.common_pulser(rtl) -# Loading common_lib.common_counter(rtl) -# Loading unb1_board_lib.unb1_board_wdi_extend(str) -# Loading common_lib.common_evt(rtl) -# Loading unb1_board_lib.mms_unb1_board_system_info(str) -# Loading unb1_board_lib.unb1_board_system_info(str) -# Loading unb1_board_lib.unb1_board_system_info_reg(rtl) -# Loading common_lib.common_rom(str) -# Loading common_lib.common_ram_r_w(str) -# Loading common_lib.common_ram_rw_rw(str) -# Loading tech_memory_lib.tech_memory_component_pkg -# Loading common_lib.common_ram_crw_crw(str) -# Loading tech_memory_lib.tech_memory_ram_crw_crw(str) -# Loading ip_stratixiv_ram_lib.ip_stratixiv_ram_crw_crw(syn) -# Loading altera_mf.altera_common_conversion(body) -# Loading altera_mf.altsyncram(translated) -# Loading common_lib.common_pipeline(rtl) -# Loading common_lib.common_toggle(rtl) -# Loading unb1_board_lib.unb1_board_wdi_reg(rtl) -# Loading remu_lib.mms_remu(str) -# Loading tech_flash_lib.tech_flash_remote_update(str) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_remote_update(rtl) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_remote_update_rmtupdt_jol(rtl) -# Loading lpm.lpm_components -# Loading lpm.lpm_common_conversion(body) -# Loading lpm.lpm_counter(lpm_syn) -# Loading ieee.vital_timing(body) -# Loading ieee.vital_primitives(body) -# Loading stratixiv.stratixiv_atom_pack(body) -# Loading stratixiv.stratixiv_rublock(architecture_rublock) -# Loading remu_lib.remu_reg(rtl) -# Loading common_lib.common_spulse(rtl) -# Loading common_lib.common_switch(rtl) -# Loading common_lib.common_reg_cross_domain(rtl) -# Loading epcs_lib.mms_epcs(str) -# Loading epcs_lib.epcs_reg(rtl) -# Loading dp_lib.dp_fifo_dc_mixed_widths(str) -# Loading tech_fifo_lib.tech_fifo_component_pkg -# Loading common_lib.common_fifo_dc_mixed_widths(str) -# Loading tech_fifo_lib.tech_fifo_dc_mixed_widths(str) -# Loading ip_stratixiv_fifo_lib.ip_stratixiv_fifo_dc_mixed_widths(syn) -# Loading altera_mf.altera_mf_hint_evaluation(body) -# Loading altera_mf.dcfifo_mixed_widths(behavior) -# Loading altera_mf.dcfifo_async(behavior) -# Loading altera_mf.dcfifo_dffpipe(behavior) -# Loading altera_mf.dcfifo_fefifo(behavior) -# Loading altera_mf.dcfifo_sync(behavior) -# Loading altera_mf.dcfifo_low_latency(behavior) -# Loading dp_lib.dp_latency_adapter(rtl) -# Loading tech_flash_lib.tech_flash_asmi_parallel(str) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_asmi_parallel(rtl) -# Loading numonyx_m25p128_lib.def -# Loading numonyx_m25p128_lib.cuicommanddata(body) -# Loading numonyx_m25p128_lib.data -# Loading numonyx_m25p128_lib.timingdata -# Loading numonyx_m25p128_lib.stringlib(body) -# Loading numonyx_m25p128_lib.blocklib(body) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_asmi_parallel_altasmi_parallel_15a2(rtl) -# Loading numonyx_m25p128_lib.m25p128(behavior) -# Loading numonyx_m25p128_lib.cuidecoder_entity(behavior) -# Loading numonyx_m25p128_lib.blocklock_entity(behavior) -# Loading numonyx_m25p128_lib.statusregister_entity(behavior) -# Loading numonyx_m25p128_lib.kernel_entity(behavior) -# Loading numonyx_m25p128_lib.memorylib(body) -# Loading numonyx_m25p128_lib.memory_entity(behavior) -# Loading numonyx_m25p128_lib.program_entity(behavior) -# Loading numonyx_m25p128_lib.erase_entity(behavior) -# Loading ieee.std_logic_signed(body) -# Loading numonyx_m25p128_lib.timingcheck_entity(behavior) -# Loading altera_mf.a_graycounter(behavior) -# Loading lpm.lpm_compare(lpm_syn) -# Loading lpm.lpm_compare_unsigned(lpm_syn) -# Loading altera_mf.scfifo(behavior) -# Loading stratixiv.stratixiv_asmiblock(architecture_asmiblock) -# Loading dp_lib.mms_dp_fifo_to_mm(str) -# Loading dp_lib.dp_fifo_to_mm(str) -# Loading dp_lib.dp_fifo_to_mm_reg(rtl) -# Loading dp_lib.mms_dp_fifo_from_mm(str) -# Loading dp_lib.dp_fifo_from_mm(str) -# Loading dp_lib.dp_fifo_from_mm_reg(rtl) -# Loading ppsh_lib.mms_ppsh(str) -# Loading ppsh_lib.ppsh(rtl) -# Loading tech_iobuf_lib.tech_iobuf_component_pkg -# Loading common_lib.common_ddio_in(str) -# Loading tech_iobuf_lib.tech_iobuf_ddio_in(str) -# Loading altera_mf.altera_mf_components -# Loading ip_stratixiv_ddio_lib.ip_stratixiv_ddio_in(str) -# Loading altera_mf.altddio_in(behave) -# Loading common_lib.common_interval_monitor(rtl) -# Loading common_lib.common_pipeline_sl(str) -# Loading common_lib.common_stable_monitor(rtl) -# Loading ppsh_lib.ppsh_reg(rtl) -# Loading unb1_board_lib.mms_unb1_board_sens(str) -# Loading unb1_board_lib.unb1_board_sens_reg(rtl) -# Loading i2c_lib.i2c_smbus_pkg -# Loading unb1_board_lib.unb1_board_sens(str) -# Loading unb1_board_lib.unb1_board_sens_ctrl(rtl) -# Loading i2c_lib.i2c_smbus(rtl) -# Loading i2c_lib.i2c_byte(structural) -# Loading i2c_lib.i2c_bit(rtl) -# Loading common_lib.common_pulse_extend(rtl) -# Loading unb1_test_lib.mmm_unb1_test(str) -# Loading mm_lib.mm_file(str) -# Loading unb1_test_lib.ddr_stream(str) -# Loading io_ddr_lib.mms_io_ddr_diag(str) -# Loading io_ddr_lib.mms_io_ddr(str) -# Loading common_lib.common_mem_mux(rtl) -# Loading io_ddr_lib.io_ddr(str) -# Loading io_ddr_lib.io_ddr_cross_domain(str) -# Loading dp_lib.dp_latency_increase(rtl) -# Loading dp_lib.dp_flush(rtl) -# Loading io_ddr_lib.io_ddr_driver_flush_ctrl(str) -# Loading io_ddr_lib.io_ddr_driver(str) -# Loading tech_ddr_lib.tech_ddr_component_pkg(body) -# Loading tech_ddr_lib.tech_ddr(str) -# Loading tech_ddr_lib.tech_ddr_stratixiv(str) -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002 -# Loading sv_std.std -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset_sync -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_fr_cycle_shifter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_write_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads -# Loading altera_mf_ver.altddio_out -# Loading altera_mf_ver.ALTERA_DEVICE_FAMILIES -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.afi_mux_ddr3_ddrx -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# Loading altera_mf_ver.altsyncram -# Loading altera_mf_ver.ALTERA_MF_MEMORY_INITIALIZATION -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_reg_file -# Loading altera_mf_ver.altdpram -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_reg_file -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_phy_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_data_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_ddr3 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_generic -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_core -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_di_buffer_wrap -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_di_buffer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_write_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_data_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_dm_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_lfsr12 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_read_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_bitcheck -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_pattern_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_data_broadcast -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_jumplogic -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_mem_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_master_translator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_slave_translator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_slave_agent -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_burst_uncompressor -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_avalon_sc_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_master_agent -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_reset_controller -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux_001 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_mux_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_arbitrator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_arb_adder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_demux_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_mux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_irq_mapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_if_nextgen_ddr3_controller_core -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_controller_st_top -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_controller -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_input_if -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_cmd_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_tbp -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_arbiter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_burst_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_addr_cmd_wrap -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_rdwr_data_tmg -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_wdata_path -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_list -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_burst_tracking -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_dataid_manager -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_rdata_path -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_sideband -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_rank_timer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_timing_param -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_mm_st_converter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_oct_stratixiv -# Loading stratixiv_ver.stratixiv_termination -# Loading stratixiv_ver.stratixiv_termination_aux_clock_div -# Loading stratixiv_ver.stratixiv_rt_sm -# Loading stratixiv_ver.stratixiv_termination_logic -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_dll_stratixiv -# Loading stratixiv_ver.stratixiv_dll -# Loading altera_lnsim_ver.altera_lnsim_functions -# Loading altera_lnsim_ver.altera_pll -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_valid_selector -# Loading lpm_ver.lpm_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_flop_mem -# Loading lpm_ver.lpm_mux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_clock_pair_generator -# Loading stratixiv_ver.stratixiv_io_obuf -# Loading stratixiv_ver.stratixiv_pseudo_diff_out -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_siii_wrapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_siii_phase_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_lfsr36 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_inst_ROM_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_ac_ROM_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_datamux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_reset_synchronizer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_addr_cmd -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_odt_gen -# Loading altera_mf_ver.scfifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_buffer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ecc_encoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ecc_decoder -# Loading altera_lnsim_ver.altera_generic_pll_functions -# Loading altera_lnsim_ver.generic_pll -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altdq_dqs2_abstract -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ddr2_odt_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ddr3_odt_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altdq_dqs2_cal_delays -# Loading stratixiv_ver.stratixiv_io_config -# Loading stratixiv_ver.stratixiv_dqs_config -# Loading common_lib.common_reg_r_w_dc(str) -# Loading common_lib.common_reg_r_w(rtl) -# Loading io_ddr_lib.io_ddr_reg(rtl) -# Loading diag_lib.mms_diag_block_gen(rtl) -# Loading diag_lib.mms_diag_tx_seq(str) -# Loading diag_lib.diag_tx_seq(rtl) -# Loading diag_lib.mms_diag_data_buffer(str) -# Loading diag_lib.mms_diag_rx_seq(str) -# Loading diag_lib.diag_rx_seq(rtl) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/tech_ddr/work.tech_ddr_memory_model(str) -# Loading tech_ddr_lib.tech_ddr_memory_model(str) -# Refreshing /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/build/unb1/modelsim/ip_stratixiv_ddr3_mem_model/work.alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en -# Loading ip_stratixiv_ddr3_mem_model_lib.alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en -# Loading i2c_lib.dev_max1618(beh) -# Loading i2c_lib.i2c_slv_device(beh) -# Loading i2c_lib.dev_ltc4260(beh) -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller.v(1830): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller.v(1830): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -as 10 -run 500us -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(3) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(2) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(1) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(0) -# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_rl/gen_fifo -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_rl/gen_fifo -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(3) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(2) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(1) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(0) -# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_rl/gen_fifo -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_rl/gen_fifo -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed -# [0 ns ] $UNB/Software/python/sim/sim.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_TR_XAUI.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_TR_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_AVS_ETH_0_MMS_REG.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_RAM_DIAG_DATA_BUFFER_DDR_MB_II.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_DATA_BUFFER_DDR_MB_II.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_RX_SEQ_DDR_MB_II.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_TX_SEQ_DDR_MB_II.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_II.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_RAM_DIAG_DATA_BUFFER_DDR_MB_I.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_DATA_BUFFER_DDR_MB_I.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_RX_SEQ_DDR_MB_I.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_TX_SEQ_DDR_MB_I.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_RX_SEQ_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_RAM_DIAG_DATA_BUFFER_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_DATA_BUFFER_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_RX_SEQ_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_RAM_DIAG_DATA_BUFFER_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_DATA_BUFFER_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_BSN_MONITOR_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_BSN_MONITOR_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DP_OFFLOAD_RX_10GBE_HDR_DAT.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DP_OFFLOAD_RX_1GBE_HDR_DAT.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DP_OFFLOAD_TX_10GBE_HDR_DAT.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DP_OFFLOAD_TX_1GBE_HDR_DAT.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DP_OFFLOAD_TX_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DP_OFFLOAD_TX_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_TX_SEQ_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_RAM_DIAG_BG_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_BG_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_TX_SEQ_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_RAM_DIAG_BG_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_BG_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_PIO_PPS.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_UNB_SENS.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_WDI.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_ROM_SYSTEM_INFO.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_PIO_SYSTEM_INFO.ctrl: Created -# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_sens -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_sens/u_unb1_board_sens/u_i2c_smbus -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_sens/u_unb1_board_sens/u_i2c_smbus -# ** Warning: NUMERIC_STD."=": null argument detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_sens/u_unb1_board_sens/u_i2c_smbus/u_comma_sc_low -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_sens/u_unb1_board_sens/u_i2c_smbus/byte -# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_ppsh/u_ppsh -# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_ppsh/u_ppsh/u_capture_cnt -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr5/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr5/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr5/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr5/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr4/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr4/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr4/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr4/l1/u1 -# [0 ns ] Load Memory File: ../ip_stratixiv_flash/memory_file -# [0 ns ] -- W Signal: VPP range -> Fast PP/SE/BE/WRSR Operations are allowed -- -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/u_unb1_board_system_info -# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_unb1_board_node_ctrl/u_common_pulser_us_ms_s/u_common_pulser_us -# Using Fast pll emif simulation models -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[0].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[0].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[1].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[1].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 2500 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 1250.000000 -# Info: output_clock_low_period = 1250.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[2].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[2].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 2500 ps -# Info: phase_shift = 625 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 1250.000000 -# Info: output_clock_low_period = 1250.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[3].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[3].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 3750 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[4].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[4].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 10000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 5000.000000 -# Info: output_clock_low_period = 5000.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[5].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[5].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 10000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 5000.000000 -# Info: output_clock_low_period = 5000.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[6].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[6].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 40000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 20000.000000 -# Info: output_clock_low_period = 20000.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[7].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[7].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[8].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[8].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[9].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[9].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Using Fast core emif simulation models -# Note: DLL instance tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.dll0.dll_wys_m has input frequency 2500 ps -# sim_valid_lock 16 -# sim_valid_lockcount 0 -# sim_low_buffer_intrinsic_delay 175 -# sim_high_buffer_intrinsic_delay 175 -# delay_buffer_mode HIGH -# sim_buffer_intrinsic_delay 175 -# sim_buffer_delay_increment 10 -# delay_chain_length 8 -# delayctrlout_mode normal -# static_delay_ctrl 8 -# use_jitter_reduction true -# use_upndnin false -# use_upndninclkena false -# Using Fast pll emif simulation models -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[0].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[0].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[1].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[1].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 2500 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 1250.000000 -# Info: output_clock_low_period = 1250.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[2].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[2].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 2500 ps -# Info: phase_shift = 625 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 1250.000000 -# Info: output_clock_low_period = 1250.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[3].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[3].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 3750 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[4].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[4].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 10000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 5000.000000 -# Info: output_clock_low_period = 5000.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[5].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[5].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 10000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 5000.000000 -# Info: output_clock_low_period = 5000.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[6].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[6].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 40000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 20000.000000 -# Info: output_clock_low_period = 20000.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[7].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[7].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[8].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[8].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[9].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[9].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Using Fast core emif simulation models -# Note: DLL instance tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.dll0.dll_wys_m has input frequency 2500 ps -# sim_valid_lock 16 -# sim_valid_lockcount 0 -# sim_low_buffer_intrinsic_delay 175 -# sim_high_buffer_intrinsic_delay 175 -# delay_buffer_mode HIGH -# sim_buffer_intrinsic_delay 175 -# sim_buffer_delay_increment 10 -# delay_chain_length 8 -# delayctrlout_mode normal -# static_delay_ctrl 8 -# use_jitter_reduction true -# use_upndnin false -# use_upndninclkena false -# Altera Generic DDR3 Memory Model -# [0] [DWR=000]: Max refresh interval of 36000000 ps -# Setting burst length Fixed BL8 -# [0] [DWR=000]: Initializing bank 0 -# [0] [DWR=000]: Initializing bank 1 -# [0] [DWR=000]: Initializing bank 2 -# [0] [DWR=000]: Initializing bank 3 -# [0] [DWR=000]: Initializing bank 4 -# [0] [DWR=000]: Initializing bank 5 -# [0] [DWR=000]: Initializing bank 6 -# [0] [DWR=000]: Initializing bank 7 -# Altera Generic DDR3 Memory Model -# [0] [DWR=000]: Max refresh interval of 36000000 ps -# Setting burst length Fixed BL8 -# [0] [DWR=000]: Initializing bank 0 -# [0] [DWR=000]: Initializing bank 1 -# [0] [DWR=000]: Initializing bank 2 -# [0] [DWR=000]: Initializing bank 3 -# [0] [DWR=000]: Initializing bank 4 -# [0] [DWR=000]: Initializing bank 5 -# [0] [DWR=000]: Initializing bank 6 -# [0] [DWR=000]: Initializing bank 7 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 1 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_sens/u_unb1_board_sens/u_i2c_smbus -# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 1 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_ppsh/u_ppsh -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 2 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_diag_block_gen/gen_tx_seq/u_mms_diag_tx_seq/gen_nof_streams(0)/u_diag_tx_seq -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 2 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_diag_block_gen/gen_tx_seq/u_mms_diag_tx_seq/gen_nof_streams(0)/u_diag_tx_seq -# [0 ns ] -- S Signal: HIGH -> The Chip is NOT selected -- -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 2 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr4/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 2 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr4/l1/u1 -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 3 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_diag_block_gen/gen_tx_seq/u_mms_diag_tx_seq/gen_nof_streams(0)/u_diag_tx_seq -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 3 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_diag_block_gen/gen_tx_seq/u_mms_diag_tx_seq/gen_nof_streams(0)/u_diag_tx_seq -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 4 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs -# ** Note: Stratix IV PLL was reset -# Time: 0 fs Iteration: 5 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/gen_pll/u_unb1_board_clk200_pll/gen_0/u_st_pll/gen_ip_stratixiv/u0/altpll_component/stratixiii_altpll/m4 -# ** Note: Stratix IV PLL was reset -# Time: 0 fs Iteration: 5 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/gen_clk25_pll/u_unb1_board_clk25_pll/u_pll/gen_ip_stratixiv/u0/altpll_component/stratixiii_altpll/m4 -# ** Note: Stratix IV PLL locked to incoming clock -# Time: 300 ns Iteration: 3 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/gen_clk25_pll/u_unb1_board_clk25_pll/u_pll/gen_ip_stratixiv/u0/altpll_component/stratixiii_altpll/m4 -# ** Note: Stratix IV PLL locked to incoming clock -# Time: 352500 ps Iteration: 3 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/gen_pll/u_unb1_board_clk200_pll/gen_0/u_st_pll/gen_ip_stratixiv/u0/altpll_component/stratixiii_altpll/m4 -# 573125 Note : DLL instance tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.dll0.dll_wys_m to lock to incoming clock per sim_valid_lock half clock cycles. -# 573125 Note : DLL instance tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.dll0.dll_wys_m to lock to incoming clock per sim_valid_lock half clock cycles. -# 622500000, [GENERIC ASSERT] AC_MASKED_BUS_WIDTH PARAMETER is correct -# 622500000, [GENERIC ASSERT] AC_MASKED_BUS_WIDTH PARAMETER is correct -# [18287508000] [DWR=000]: MRS Command - MRS [ 2 ] -> 200 -# MRS - 2 -# CAS WRITE LATENCY set to : 5 -# [18287508000] [DWR=000]: MRS Command - MRS [ 2 ] -> 200 -# MRS - 2 -# CAS WRITE LATENCY set to : 5 -# [19557508000] [DWR=000]: MRS Command - MRS [ 3 ] -> 0 -# MRS - 3: not supported -# [19557508000] [DWR=000]: MRS Command - MRS [ 3 ] -> 0 -# MRS - 3: not supported -# [20827508000] [DWR=000]: MRS Command - MRS [ 1 ] -> 6 -# MRS - 1 -# Setting Additive CAS LATENCY to 0 -# [20827508000] [DWR=000]: MRS Command - MRS [ 1 ] -> 6 -# MRS - 1 -# Setting Additive CAS LATENCY to 0 -# [21617508000] [DWR=000]: MRS Command - MRS [ 0 ] -> 520 -# MRS - 0 -# Setting burst length Fixed BL8 -# CAS LATENCY set to : 6 -# Resetting DLL -# [21617508000] [DWR=000]: MRS Command - MRS [ 0 ] -> 520 -# MRS - 0 -# Setting burst length Fixed BL8 -# CAS LATENCY set to : 6 -# Resetting DLL -# [22407508000] [DWR=000]: ZQC Command -# [22407508000] [DWR=000]: ZQC Command -# [28287508000] [DWR=000]: PRECHARGE - ALL BANKS -# [28287508000] [DWR=000]: PRECHARGE - ALL BANKS -# [29337508000] [DWR=000]: ACTIVATE (queue) - BANK [ 0 ] - ROW [ 0 ] -# [29337508000] [DWR=000]: ACTIVATE (queue) - BANK [ 0 ] - ROW [ 0 ] -# [29352508000] [DWR=000]: ACTIVATE (execute) - BANK [ 0 ] - ROW [ 0 ] -# [29352508000] [DWR=000]: ACTIVATE (execute) - BANK [ 0 ] - ROW [ 0 ] -# [29422508000] [DWR=000]: ACTIVATE (queue) - BANK [ 3 ] - ROW [ 0 ] -# [29422508000] [DWR=000]: ACTIVATE (queue) - BANK [ 3 ] - ROW [ 0 ] -# [29437508000] [DWR=000]: ACTIVATE (execute) - BANK [ 3 ] - ROW [ 0 ] -# [29437508000] [DWR=000]: ACTIVATE (execute) - BANK [ 3 ] - ROW [ 0 ] -# [54387508000] [DWR=000]: PRECHARGE - ALL BANKS -# [54387508000] [DWR=000]: PRECHARGE - ALL BANKS -# [55437508000] [DWR=000]: ACTIVATE (queue) - BANK [ 0 ] - ROW [ 0 ] -# [55437508000] [DWR=000]: ACTIVATE (queue) - BANK [ 0 ] - ROW [ 0 ] -# [55452508000] [DWR=000]: ACTIVATE (execute) - BANK [ 0 ] - ROW [ 0 ] -# [55452508000] [DWR=000]: ACTIVATE (execute) - BANK [ 0 ] - ROW [ 0 ] -# [55522508000] [DWR=000]: ACTIVATE (queue) - BANK [ 3 ] - ROW [ 0 ] -# [55522508000] [DWR=000]: ACTIVATE (queue) - BANK [ 3 ] - ROW [ 0 ] -# [55537508000] [DWR=000]: ACTIVATE (execute) - BANK [ 3 ] - ROW [ 0 ] -# [55537508000] [DWR=000]: ACTIVATE (execute) - BANK [ 3 ] - ROW [ 0 ] -# [59327508000] [DWR=000]: WRITE (BL8) - BANK [ 0 ] - COL [ 8 ] -# [59327508000] [DWR=000]: WRITE (BL8) - BANK [ 0 ] - COL [ 8 ] -# [59340108000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ 8 (BRC=0/0/8 ) burst 0 -# [59340108000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ 8 (BRC=0/0/8 ) burst 0 -# [59341358000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ 9 (BRC=0/0/8 ) burst 1 -# [59341358000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ 9 (BRC=0/0/8 ) burst 1 -# [59342608000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ a (BRC=0/0/8 ) burst 2 -# [59342608000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ a (BRC=0/0/8 ) burst 2 -# [59343858000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ b (BRC=0/0/8 ) burst 3 -# [59343858000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ b (BRC=0/0/8 ) burst 3 -# [59345108000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c (BRC=0/0/8 ) burst 4 -# [59345108000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c (BRC=0/0/8 ) burst 4 -# [59346358000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ d (BRC=0/0/8 ) burst 5 -# [59346358000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ d (BRC=0/0/8 ) burst 5 -# [59347508000] [DWR=000]: WRITE (BL8) - BANK [ 3 ] - COL [ 0 ] -# [59347508000] [DWR=000]: WRITE (BL8) - BANK [ 3 ] - COL [ 0 ] -# [59347608000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ e (BRC=0/0/8 ) burst 6 -# [59347608000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ e (BRC=0/0/8 ) burst 6 -# [59348858000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ f (BRC=0/0/8 ) burst 7 -# [59348858000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ f (BRC=0/0/8 ) burst 7 -# [59360108000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000000 (BRC=3/0/0 ) burst 0 -# [59360108000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000000 (BRC=3/0/0 ) burst 0 -# [59361358000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000001 (BRC=3/0/0 ) burst 1 -# [59361358000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000001 (BRC=3/0/0 ) burst 1 -# [59362608000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000002 (BRC=3/0/0 ) burst 2 -# [59362608000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000002 (BRC=3/0/0 ) burst 2 -# [59363858000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000003 (BRC=3/0/0 ) burst 3 -# [59363858000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000003 (BRC=3/0/0 ) burst 3 -# [59365108000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000004 (BRC=3/0/0 ) burst 4 -# [59365108000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000004 (BRC=3/0/0 ) burst 4 -# [59366358000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000005 (BRC=3/0/0 ) burst 5 -# [59366358000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000005 (BRC=3/0/0 ) burst 5 -# [59367608000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000006 (BRC=3/0/0 ) burst 6 -# [59367608000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000006 (BRC=3/0/0 ) burst 6 -# [59368858000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000007 (BRC=3/0/0 ) burst 7 -# [59368858000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000007 (BRC=3/0/0 ) burst 7 -# [59517508000] [DWR=000]: WRITE (BL8) - BANK [ 3 ] - COL [ 8 ] -# [59517508000] [DWR=000]: WRITE (BL8) - BANK [ 3 ] - COL [ 8 ] -# [59530108000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c000008 (BRC=3/0/8 ) burst 0 -# [59530108000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c000008 (BRC=3/0/8 ) burst 0 -# [59531358000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c000009 (BRC=3/0/8 ) burst 1 -# [59531358000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c000009 (BRC=3/0/8 ) burst 1 -# [59532608000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000a (BRC=3/0/8 ) burst 2 -# [59532608000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000a (BRC=3/0/8 ) burst 2 -# [59533858000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000b (BRC=3/0/8 ) burst 3 -# [59533858000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000b (BRC=3/0/8 ) burst 3 -# [59535108000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000c (BRC=3/0/8 ) burst 4 -# [59535108000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000c (BRC=3/0/8 ) burst 4 -# [59536358000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000d (BRC=3/0/8 ) burst 5 -# [59536358000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000d (BRC=3/0/8 ) burst 5 -# [59537508000] [DWR=000]: WRITE (BL8) - BANK [ 0 ] - COL [ 0 ] -# [59537508000] [DWR=000]: WRITE (BL8) - BANK [ 0 ] - COL [ 0 ] -# [59537608000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000e (BRC=3/0/8 ) burst 6 -# [59537608000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000e (BRC=3/0/8 ) burst 6 -# [59538858000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000f (BRC=3/0/8 ) burst 7 -# [59538858000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000f (BRC=3/0/8 ) burst 7 -# [59550108000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 0 (BRC=0/0/0 ) burst 0 -# [59550108000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 0 (BRC=0/0/0 ) burst 0 -# [59551358000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 1 (BRC=0/0/0 ) burst 1 -# [59551358000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 1 (BRC=0/0/0 ) burst 1 -# [59552608000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 2 (BRC=0/0/0 ) burst 2 -# [59552608000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 2 (BRC=0/0/0 ) burst 2 -# [59553858000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 3 (BRC=0/0/0 ) burst 3 -# [59553858000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 3 (BRC=0/0/0 ) burst 3 -# [59555108000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 4 (BRC=0/0/0 ) burst 4 -# [59555108000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 4 (BRC=0/0/0 ) burst 4 -# [59556358000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 5 (BRC=0/0/0 ) burst 5 -# [59556358000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 5 (BRC=0/0/0 ) burst 5 -# [59557608000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 6 (BRC=0/0/0 ) burst 6 -# [59557608000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 6 (BRC=0/0/0 ) burst 6 -# [59558858000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 7 (BRC=0/0/0 ) burst 7 -# [59558858000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 7 (BRC=0/0/0 ) burst 7 -# [63657508000] [DWR=000]: PRECHARGE - ALL BANKS -# [63657508000] [DWR=000]: PRECHARGE - ALL BANKS -# [64447508000] [DWR=000]: MRS Command - MRS [ 2 ] -> 200 -# MRS - 2 -# CAS WRITE LATENCY set to : 5 -# [64447508000] [DWR=000]: MRS Command - MRS [ 2 ] -> 200 -# MRS - 2 -# CAS WRITE LATENCY set to : 5 -# [66017508000] [DWR=000]: MRS Command - MRS [ 3 ] -> 0 -# MRS - 3: not supported -# [66017508000] [DWR=000]: MRS Command - MRS [ 3 ] -> 0 -# MRS - 3: not supported -# [67287508000] [DWR=000]: MRS Command - MRS [ 1 ] -> 6 -# MRS - 1 -# Setting Additive CAS LATENCY to 0 -# [67287508000] [DWR=000]: MRS Command - MRS [ 1 ] -> 6 -# MRS - 1 -# Setting Additive CAS LATENCY to 0 -# [68557508000] [DWR=000]: MRS Command - MRS [ 0 ] -> 421 -# MRS - 0 -# Setting burst length on-the-fly -# CAS LATENCY set to : 6 -# [68557508000] [DWR=000]: MRS Command - MRS [ 0 ] -> 421 -# MRS - 0 -# Setting burst length on-the-fly -# CAS LATENCY set to : 6 -# [71307508000] [DWR=000]: REFRESH Command -# [71307508000] [DWR=000]: REFRESH Command -# [79112508000] [DWR=000]: REFRESH Command -# [79112508000] [DWR=000]: REFRESH Command -# [86917508000] [DWR=000]: REFRESH Command -# [86917508000] [DWR=000]: REFRESH Command -# [94722508000] [DWR=000]: REFRESH Command -# [94722508000] [DWR=000]: REFRESH Command -# [102527508000] [DWR=000]: REFRESH Command -# [102527508000] [DWR=000]: REFRESH Command -# [110332508000] [DWR=000]: REFRESH Command -# [110332508000] [DWR=000]: REFRESH Command -# [118137508000] [DWR=000]: REFRESH Command -# [118137508000] [DWR=000]: REFRESH Command -# [125942508000] [DWR=000]: REFRESH Command -# [125942508000] [DWR=000]: REFRESH Command -# [133747508000] [DWR=000]: REFRESH Command -# [133747508000] [DWR=000]: REFRESH Command -# [141552508000] [DWR=000]: REFRESH Command -# [141552508000] [DWR=000]: REFRESH Command -# [149357508000] [DWR=000]: REFRESH Command -# [149357508000] [DWR=000]: REFRESH Command -# [157162508000] [DWR=000]: REFRESH Command -# [157162508000] [DWR=000]: REFRESH Command -# [164967508000] [DWR=000]: REFRESH Command -# [164967508000] [DWR=000]: REFRESH Command -# [172772508000] [DWR=000]: REFRESH Command -# [172772508000] [DWR=000]: REFRESH Command -# [180577508000] [DWR=000]: REFRESH Command -# [180577508000] [DWR=000]: REFRESH Command -# [188382508000] [DWR=000]: REFRESH Command -# [188382508000] [DWR=000]: REFRESH Command -# [196187508000] [DWR=000]: REFRESH Command -# [196187508000] [DWR=000]: REFRESH Command -# [203992508000] [DWR=000]: REFRESH Command -# [203992508000] [DWR=000]: REFRESH Command -# [211797508000] [DWR=000]: REFRESH Command -# [211797508000] [DWR=000]: REFRESH Command -# [219602508000] [DWR=000]: REFRESH Command -# [219602508000] [DWR=000]: REFRESH Command -# [227407508000] [DWR=000]: REFRESH Command -# [227407508000] [DWR=000]: REFRESH Command -# [235212508000] [DWR=000]: REFRESH Command -# [235212508000] [DWR=000]: REFRESH Command -# [243017508000] [DWR=000]: REFRESH Command -# [243017508000] [DWR=000]: REFRESH Command -# [250822508000] [DWR=000]: REFRESH Command -# [250822508000] [DWR=000]: REFRESH Command -# [258627508000] [DWR=000]: REFRESH Command -# [258627508000] [DWR=000]: REFRESH Command -# [266432508000] [DWR=000]: REFRESH Command -# [266432508000] [DWR=000]: REFRESH Command -# [274237508000] [DWR=000]: REFRESH Command -# [274237508000] [DWR=000]: REFRESH Command -# [282042508000] [DWR=000]: REFRESH Command -# [282042508000] [DWR=000]: REFRESH Command -# [289847508000] [DWR=000]: REFRESH Command -# [289847508000] [DWR=000]: REFRESH Command -# [297652508000] [DWR=000]: REFRESH Command -# [297652508000] [DWR=000]: REFRESH Command -# [305457508000] [DWR=000]: REFRESH Command -# [305457508000] [DWR=000]: REFRESH Command -# [313262508000] [DWR=000]: REFRESH Command -# [313262508000] [DWR=000]: REFRESH Command -# [321067508000] [DWR=000]: REFRESH Command -# [321067508000] [DWR=000]: REFRESH Command -# [328872508000] [DWR=000]: REFRESH Command -# [328872508000] [DWR=000]: REFRESH Command -# [336677508000] [DWR=000]: REFRESH Command -# [336677508000] [DWR=000]: REFRESH Command -# [344482508000] [DWR=000]: REFRESH Command -# [344482508000] [DWR=000]: REFRESH Command -# [352287508000] [DWR=000]: REFRESH Command -# [352287508000] [DWR=000]: REFRESH Command -# [360092508000] [DWR=000]: REFRESH Command -# [360092508000] [DWR=000]: REFRESH Command -# [367897508000] [DWR=000]: REFRESH Command -# [367897508000] [DWR=000]: REFRESH Command -# [375702508000] [DWR=000]: REFRESH Command -# [375702508000] [DWR=000]: REFRESH Command -# [383507508000] [DWR=000]: REFRESH Command -# [383507508000] [DWR=000]: REFRESH Command -# [391312508000] [DWR=000]: REFRESH Command -# [391312508000] [DWR=000]: REFRESH Command -# [399117508000] [DWR=000]: REFRESH Command -# [399117508000] [DWR=000]: REFRESH Command -# [406922508000] [DWR=000]: REFRESH Command -# [406922508000] [DWR=000]: REFRESH Command -# [414727508000] [DWR=000]: REFRESH Command -# [414727508000] [DWR=000]: REFRESH Command -# [422532508000] [DWR=000]: REFRESH Command -# [422532508000] [DWR=000]: REFRESH Command -# [430337508000] [DWR=000]: REFRESH Command -# [430337508000] [DWR=000]: REFRESH Command -# [438142508000] [DWR=000]: REFRESH Command -# [438142508000] [DWR=000]: REFRESH Command -# [445947508000] [DWR=000]: REFRESH Command -# [445947508000] [DWR=000]: REFRESH Command -# [453752508000] [DWR=000]: REFRESH Command -# [453752508000] [DWR=000]: REFRESH Command -# [461557508000] [DWR=000]: REFRESH Command -# [461557508000] [DWR=000]: REFRESH Command -# [469362508000] [DWR=000]: REFRESH Command -# [469362508000] [DWR=000]: REFRESH Command -# [477167508000] [DWR=000]: REFRESH Command -# [477167508000] [DWR=000]: REFRESH Command -# [484972508000] [DWR=000]: REFRESH Command -# [484972508000] [DWR=000]: REFRESH Command -# [492777508000] [DWR=000]: REFRESH Command -# [492777508000] [DWR=000]: REFRESH Command -run 500us -# [500582508000] [DWR=000]: REFRESH Command -# [500582508000] [DWR=000]: REFRESH Command -# [508387508000] [DWR=000]: REFRESH Command -# [508387508000] [DWR=000]: REFRESH Command -# [516192508000] [DWR=000]: REFRESH Command -# [516192508000] [DWR=000]: REFRESH Command -# [523997508000] [DWR=000]: REFRESH Command -# [523997508000] [DWR=000]: REFRESH Command -# [531802508000] [DWR=000]: REFRESH Command -# [531802508000] [DWR=000]: REFRESH Command -# [539607508000] [DWR=000]: REFRESH Command -# [539607508000] [DWR=000]: REFRESH Command -# [547412508000] [DWR=000]: REFRESH Command -# [547412508000] [DWR=000]: REFRESH Command -# [555217508000] [DWR=000]: REFRESH Command -# [555217508000] [DWR=000]: REFRESH Command -# [563022508000] [DWR=000]: REFRESH Command -# [563022508000] [DWR=000]: REFRESH Command -# [570827508000] [DWR=000]: REFRESH Command -# [570827508000] [DWR=000]: REFRESH Command -# [578632508000] [DWR=000]: REFRESH Command -# [578632508000] [DWR=000]: REFRESH Command -# [586437508000] [DWR=000]: REFRESH Command -# [586437508000] [DWR=000]: REFRESH Command -# [594242508000] [DWR=000]: REFRESH Command -# [594242508000] [DWR=000]: REFRESH Command -# [602047508000] [DWR=000]: REFRESH Command -# [602047508000] [DWR=000]: REFRESH Command -# [609852508000] [DWR=000]: REFRESH Command -# [609852508000] [DWR=000]: REFRESH Command -# [617657508000] [DWR=000]: REFRESH Command -# [617657508000] [DWR=000]: REFRESH Command -# [625462508000] [DWR=000]: REFRESH Command -# [625462508000] [DWR=000]: REFRESH Command -# [633267508000] [DWR=000]: REFRESH Command -# [633267508000] [DWR=000]: REFRESH Command -# [641072508000] [DWR=000]: REFRESH Command -# [641072508000] [DWR=000]: REFRESH Command -# [648877508000] [DWR=000]: REFRESH Command -# [648877508000] [DWR=000]: REFRESH Command -# [656682508000] [DWR=000]: REFRESH Command -# [656682508000] [DWR=000]: REFRESH Command -# [664487508000] [DWR=000]: REFRESH Command -# [664487508000] [DWR=000]: REFRESH Command -# [672292508000] [DWR=000]: REFRESH Command -# [672292508000] [DWR=000]: REFRESH Command -# [680097508000] [DWR=000]: REFRESH Command -# [680097508000] [DWR=000]: REFRESH Command -# [687902508000] [DWR=000]: REFRESH Command -# [687902508000] [DWR=000]: REFRESH Command -# [695707508000] [DWR=000]: REFRESH Command -# [695707508000] [DWR=000]: REFRESH Command -# [703512508000] [DWR=000]: REFRESH Command -# [703512508000] [DWR=000]: REFRESH Command -# [711317508000] [DWR=000]: REFRESH Command -# [711317508000] [DWR=000]: REFRESH Command -# [719122508000] [DWR=000]: REFRESH Command -# [719122508000] [DWR=000]: REFRESH Command -# [726927508000] [DWR=000]: REFRESH Command -# [726927508000] [DWR=000]: REFRESH Command -# [734732508000] [DWR=000]: REFRESH Command -# [734732508000] [DWR=000]: REFRESH Command -# [742537508000] [DWR=000]: REFRESH Command -# [742537508000] [DWR=000]: REFRESH Command -# [750342508000] [DWR=000]: REFRESH Command -# [750342508000] [DWR=000]: REFRESH Command -# [758147508000] [DWR=000]: REFRESH Command -# [758147508000] [DWR=000]: REFRESH Command -# [765952508000] [DWR=000]: REFRESH Command -# [765952508000] [DWR=000]: REFRESH Command -# [773757508000] [DWR=000]: REFRESH Command -# [773757508000] [DWR=000]: REFRESH Command -# [781562508000] [DWR=000]: REFRESH Command -# [781562508000] [DWR=000]: REFRESH Command -# [789367508000] [DWR=000]: REFRESH Command -# [789367508000] [DWR=000]: REFRESH Command -# [797172508000] [DWR=000]: REFRESH Command -# [797172508000] [DWR=000]: REFRESH Command -# [804977508000] [DWR=000]: REFRESH Command -# [804977508000] [DWR=000]: REFRESH Command -# [812782508000] [DWR=000]: REFRESH Command -# [812782508000] [DWR=000]: REFRESH Command -# [820587508000] [DWR=000]: REFRESH Command -# [820587508000] [DWR=000]: REFRESH Command -# [828392508000] [DWR=000]: REFRESH Command -# [828392508000] [DWR=000]: REFRESH Command -# [836197508000] [DWR=000]: REFRESH Command -# [836197508000] [DWR=000]: REFRESH Command -# [844002508000] [DWR=000]: REFRESH Command -# [844002508000] [DWR=000]: REFRESH Command -# [851807508000] [DWR=000]: REFRESH Command -# [851807508000] [DWR=000]: REFRESH Command -# [859612508000] [DWR=000]: REFRESH Command -# [859612508000] [DWR=000]: REFRESH Command -# [867417508000] [DWR=000]: REFRESH Command -# [867417508000] [DWR=000]: REFRESH Command -# [875222508000] [DWR=000]: REFRESH Command -# [875222508000] [DWR=000]: REFRESH Command -# [883027508000] [DWR=000]: REFRESH Command -# [883027508000] [DWR=000]: REFRESH Command -# [890832508000] [DWR=000]: REFRESH Command -# [890832508000] [DWR=000]: REFRESH Command -# [898637508000] [DWR=000]: REFRESH Command -# [898637508000] [DWR=000]: REFRESH Command -# [906442508000] [DWR=000]: REFRESH Command -# [906442508000] [DWR=000]: REFRESH Command -# [914247508000] [DWR=000]: REFRESH Command -# [914247508000] [DWR=000]: REFRESH Command -# [922052508000] [DWR=000]: REFRESH Command -# [922052508000] [DWR=000]: REFRESH Command -# [929857508000] [DWR=000]: REFRESH Command -# [929857508000] [DWR=000]: REFRESH Command -# [937662508000] [DWR=000]: REFRESH Command -# [937662508000] [DWR=000]: REFRESH Command -# [945467508000] [DWR=000]: REFRESH Command -# [945467508000] [DWR=000]: REFRESH Command -# [953272508000] [DWR=000]: REFRESH Command -# [953272508000] [DWR=000]: REFRESH Command -# [961077508000] [DWR=000]: REFRESH Command -# [961077508000] [DWR=000]: REFRESH Command -# [968882508000] [DWR=000]: REFRESH Command -# [968882508000] [DWR=000]: REFRESH Command -# [976687508000] [DWR=000]: REFRESH Command -# [976687508000] [DWR=000]: REFRESH Command -# [984492508000] [DWR=000]: REFRESH Command -# [984492508000] [DWR=000]: REFRESH Command -# [992297508000] [DWR=000]: REFRESH Command -# [992297508000] [DWR=000]: REFRESH Command -run 500us -# [1000102508000] [DWR=000]: REFRESH Command -# [1000102508000] [DWR=000]: REFRESH Command -# [1007907508000] [DWR=000]: REFRESH Command -# [1007907508000] [DWR=000]: REFRESH Command -# [1015712508000] [DWR=000]: REFRESH Command -# [1015712508000] [DWR=000]: REFRESH Command -# [1023517508000] [DWR=000]: REFRESH Command -# [1023517508000] [DWR=000]: REFRESH Command -# [1031322508000] [DWR=000]: REFRESH Command -# [1031322508000] [DWR=000]: REFRESH Command -# [1039127508000] [DWR=000]: REFRESH Command -# [1039127508000] [DWR=000]: REFRESH Command -# [1046932508000] [DWR=000]: REFRESH Command -# [1046932508000] [DWR=000]: REFRESH Command -# [1054737508000] [DWR=000]: REFRESH Command -# [1054737508000] [DWR=000]: REFRESH Command -# [1062542508000] [DWR=000]: REFRESH Command -# [1062542508000] [DWR=000]: REFRESH Command -# [1070347508000] [DWR=000]: REFRESH Command -# [1070347508000] [DWR=000]: REFRESH Command -# [1078152508000] [DWR=000]: REFRESH Command -# [1078152508000] [DWR=000]: REFRESH Command -# [1085957508000] [DWR=000]: REFRESH Command -# [1085957508000] [DWR=000]: REFRESH Command -# [1093762508000] [DWR=000]: REFRESH Command -# [1093762508000] [DWR=000]: REFRESH Command -# [1101567508000] [DWR=000]: REFRESH Command -# [1101567508000] [DWR=000]: REFRESH Command -# [1109372508000] [DWR=000]: REFRESH Command -# [1109372508000] [DWR=000]: REFRESH Command -# [1117177508000] [DWR=000]: REFRESH Command -# [1117177508000] [DWR=000]: REFRESH Command -# [1124982508000] [DWR=000]: REFRESH Command -# [1124982508000] [DWR=000]: REFRESH Command -# [1132787508000] [DWR=000]: REFRESH Command -# [1132787508000] [DWR=000]: REFRESH Command -# [1140592508000] [DWR=000]: REFRESH Command -# [1140592508000] [DWR=000]: REFRESH Command -# [1148397508000] [DWR=000]: REFRESH Command -# [1148397508000] [DWR=000]: REFRESH Command -# [1156202508000] [DWR=000]: REFRESH Command -# [1156202508000] [DWR=000]: REFRESH Command -# [1164007508000] [DWR=000]: REFRESH Command -# [1164007508000] [DWR=000]: REFRESH Command -# [1171812508000] [DWR=000]: REFRESH Command -# [1171812508000] [DWR=000]: REFRESH Command -# [1179617508000] [DWR=000]: REFRESH Command -# [1179617508000] [DWR=000]: REFRESH Command -# [1187422508000] [DWR=000]: REFRESH Command -# [1187422508000] [DWR=000]: REFRESH Command -# [1195227508000] [DWR=000]: REFRESH Command -# [1195227508000] [DWR=000]: REFRESH Command -# [1203032508000] [DWR=000]: REFRESH Command -# [1203032508000] [DWR=000]: REFRESH Command -# [1210837508000] [DWR=000]: REFRESH Command -# [1210837508000] [DWR=000]: REFRESH Command -# [1218642508000] [DWR=000]: REFRESH Command -# [1218642508000] [DWR=000]: REFRESH Command -# [1226447508000] [DWR=000]: REFRESH Command -# [1226447508000] [DWR=000]: REFRESH Command -# [1234252508000] [DWR=000]: REFRESH Command -# [1234252508000] [DWR=000]: REFRESH Command -# [1242057508000] [DWR=000]: REFRESH Command -# [1242057508000] [DWR=000]: REFRESH Command -# [1249862508000] [DWR=000]: REFRESH Command -# [1249862508000] [DWR=000]: REFRESH Command -# [1257667508000] [DWR=000]: REFRESH Command -# [1257667508000] [DWR=000]: REFRESH Command -# [1265472508000] [DWR=000]: REFRESH Command -# [1265472508000] [DWR=000]: REFRESH Command -# [1273277508000] [DWR=000]: REFRESH Command -# [1273277508000] [DWR=000]: REFRESH Command -# [1281082508000] [DWR=000]: REFRESH Command -# [1281082508000] [DWR=000]: REFRESH Command -# [1288887508000] [DWR=000]: REFRESH Command -# [1288887508000] [DWR=000]: REFRESH Command -# [1296692508000] [DWR=000]: REFRESH Command -# [1296692508000] [DWR=000]: REFRESH Command -# [1304497508000] [DWR=000]: REFRESH Command -# [1304497508000] [DWR=000]: REFRESH Command -# [1312302508000] [DWR=000]: REFRESH Command -# [1312302508000] [DWR=000]: REFRESH Command -# [1320107508000] [DWR=000]: REFRESH Command -# [1320107508000] [DWR=000]: REFRESH Command -# [1327912508000] [DWR=000]: REFRESH Command -# [1327912508000] [DWR=000]: REFRESH Command -# [1335717508000] [DWR=000]: REFRESH Command -# [1335717508000] [DWR=000]: REFRESH Command -# [1343522508000] [DWR=000]: REFRESH Command -# [1343522508000] [DWR=000]: REFRESH Command -# [1351327508000] [DWR=000]: REFRESH Command -# [1351327508000] [DWR=000]: REFRESH Command -# [1359132508000] [DWR=000]: REFRESH Command -# [1359132508000] [DWR=000]: REFRESH Command -# [1366937508000] [DWR=000]: REFRESH Command -# [1366937508000] [DWR=000]: REFRESH Command -# [1374742508000] [DWR=000]: REFRESH Command -# [1374742508000] [DWR=000]: REFRESH Command -# [1382547508000] [DWR=000]: REFRESH Command -# [1382547508000] [DWR=000]: REFRESH Command -# [1390352508000] [DWR=000]: REFRESH Command -# [1390352508000] [DWR=000]: REFRESH Command -# [1398157508000] [DWR=000]: REFRESH Command -# [1398157508000] [DWR=000]: REFRESH Command -# [1405962508000] [DWR=000]: REFRESH Command -# [1405962508000] [DWR=000]: REFRESH Command -# [1413767508000] [DWR=000]: REFRESH Command -# [1413767508000] [DWR=000]: REFRESH Command -# [1421572508000] [DWR=000]: REFRESH Command -# [1421572508000] [DWR=000]: REFRESH Command -# [1429377508000] [DWR=000]: REFRESH Command -# [1429377508000] [DWR=000]: REFRESH Command -# [1437182508000] [DWR=000]: REFRESH Command -# [1437182508000] [DWR=000]: REFRESH Command -# [1444987508000] [DWR=000]: REFRESH Command -# [1444987508000] [DWR=000]: REFRESH Command -# [1452792508000] [DWR=000]: REFRESH Command -# [1452792508000] [DWR=000]: REFRESH Command -# [1460597508000] [DWR=000]: REFRESH Command -# [1460597508000] [DWR=000]: REFRESH Command -# [1468402508000] [DWR=000]: REFRESH Command -# [1468402508000] [DWR=000]: REFRESH Command -# [1476207508000] [DWR=000]: REFRESH Command -# [1476207508000] [DWR=000]: REFRESH Command -# [1484012508000] [DWR=000]: REFRESH Command -# [1484012508000] [DWR=000]: REFRESH Command -# [1491817508000] [DWR=000]: REFRESH Command -# [1491817508000] [DWR=000]: REFRESH Command -# [1499622508000] [DWR=000]: REFRESH Command -# [1499622508000] [DWR=000]: REFRESH Command -run 500us -# [1507427508000] [DWR=000]: REFRESH Command -# [1507427508000] [DWR=000]: REFRESH Command -# [1515232508000] [DWR=000]: REFRESH Command -# [1515232508000] [DWR=000]: REFRESH Command -# [1523037508000] [DWR=000]: REFRESH Command -# [1523037508000] [DWR=000]: REFRESH Command -# [1530842508000] [DWR=000]: REFRESH Command -# [1530842508000] [DWR=000]: REFRESH Command -# [1538647508000] [DWR=000]: REFRESH Command -# [1538647508000] [DWR=000]: REFRESH Command -# [1546452508000] [DWR=000]: REFRESH Command -# [1546452508000] [DWR=000]: REFRESH Command -# [1554257508000] [DWR=000]: REFRESH Command -# [1554257508000] [DWR=000]: REFRESH Command -# [1562062508000] [DWR=000]: REFRESH Command -# [1562062508000] [DWR=000]: REFRESH Command -# [1569867508000] [DWR=000]: REFRESH Command -# [1569867508000] [DWR=000]: REFRESH Command -# [1575652 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_TX_SEQ_DDR_MB_I.ctrl: Writing 0x00000000 to address 0x00000000 -# [1576276 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_RX_SEQ_DDR_MB_I.ctrl: Writing 0x00000000 to address 0x00000000 -# [1576948 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1577672508000] [DWR=000]: REFRESH Command -# [1577672508000] [DWR=000]: REFRESH Command -# [1585477508000] [DWR=000]: REFRESH Command -# [1585477508000] [DWR=000]: REFRESH Command -# [1586980 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1593282508000] [DWR=000]: REFRESH Command -# [1593282508000] [DWR=000]: REFRESH Command -# [1596964 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1601087508000] [DWR=000]: REFRESH Command -# [1601087508000] [DWR=000]: REFRESH Command -# [1606828 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1608892508000] [DWR=000]: REFRESH Command -# [1608892508000] [DWR=000]: REFRESH Command -# [1616697508000] [DWR=000]: REFRESH Command -# [1616697508000] [DWR=000]: REFRESH Command -# [1616884 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1624502508000] [DWR=000]: REFRESH Command -# [1624502508000] [DWR=000]: REFRESH Command -# [1626876 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1632307508000] [DWR=000]: REFRESH Command -# [1632307508000] [DWR=000]: REFRESH Command -# [1636868 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1640112508000] [DWR=000]: REFRESH Command -# [1640112508000] [DWR=000]: REFRESH Command -# [1646860 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1647917508000] [DWR=000]: REFRESH Command -# [1647917508000] [DWR=000]: REFRESH Command -# [1655722508000] [DWR=000]: REFRESH Command -# [1655722508000] [DWR=000]: REFRESH Command -# [1656868 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1663527508000] [DWR=000]: REFRESH Command -# [1663527508000] [DWR=000]: REFRESH Command -# [1666644 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1671332508000] [DWR=000]: REFRESH Command -# [1671332508000] [DWR=000]: REFRESH Command -# [1676500 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1679137508000] [DWR=000]: REFRESH Command -# [1679137508000] [DWR=000]: REFRESH Command -# [1686508 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1686942508000] [DWR=000]: REFRESH Command -# [1686942508000] [DWR=000]: REFRESH Command -# [1694747508000] [DWR=000]: REFRESH Command -# [1694747508000] [DWR=000]: REFRESH Command -# [1696388 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1702552508000] [DWR=000]: REFRESH Command -# [1702552508000] [DWR=000]: REFRESH Command -# [1706164 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1710357508000] [DWR=000]: REFRESH Command -# [1710357508000] [DWR=000]: REFRESH Command -# [1716156 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1718162508000] [DWR=000]: REFRESH Command -# [1718162508000] [DWR=000]: REFRESH Command -# [1725967508000] [DWR=000]: REFRESH Command -# [1725967508000] [DWR=000]: REFRESH Command -# [1726220 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1733772508000] [DWR=000]: REFRESH Command -# [1733772508000] [DWR=000]: REFRESH Command -# [1736196 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1741577508000] [DWR=000]: REFRESH Command -# [1741577508000] [DWR=000]: REFRESH Command -# [1746180 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1749382508000] [DWR=000]: REFRESH Command -# [1749382508000] [DWR=000]: REFRESH Command -# [1756196 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1757187508000] [DWR=000]: REFRESH Command -# [1757187508000] [DWR=000]: REFRESH Command -# [1764992508000] [DWR=000]: REFRESH Command -# [1764992508000] [DWR=000]: REFRESH Command -# [1766172 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1772797508000] [DWR=000]: REFRESH Command -# [1772797508000] [DWR=000]: REFRESH Command -# [1776116 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1780602508000] [DWR=000]: REFRESH Command -# [1780602508000] [DWR=000]: REFRESH Command -# [1786012 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1788407508000] [DWR=000]: REFRESH Command -# [1788407508000] [DWR=000]: REFRESH Command -# [1795972 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1796212508000] [DWR=000]: REFRESH Command -# [1796212508000] [DWR=000]: REFRESH Command -# [1804017508000] [DWR=000]: REFRESH Command -# [1804017508000] [DWR=000]: REFRESH Command -# [1805892 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1811822508000] [DWR=000]: REFRESH Command -# [1811822508000] [DWR=000]: REFRESH Command -# [1815844 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1819627508000] [DWR=000]: REFRESH Command -# [1819627508000] [DWR=000]: REFRESH Command -# [1825804 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1827432508000] [DWR=000]: REFRESH Command -# [1827432508000] [DWR=000]: REFRESH Command -# [1835148 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1835237508000] [DWR=000]: REFRESH Command -# [1835237508000] [DWR=000]: REFRESH Command -# [1843042508000] [DWR=000]: REFRESH Command -# [1843042508000] [DWR=000]: REFRESH Command -# [1845004 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1850847508000] [DWR=000]: REFRESH Command -# [1850847508000] [DWR=000]: REFRESH Command -# [1854700 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1858652508000] [DWR=000]: REFRESH Command -# [1858652508000] [DWR=000]: REFRESH Command -# [1864652 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1866457508000] [DWR=000]: REFRESH Command -# [1866457508000] [DWR=000]: REFRESH Command -# [1873012 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1874262508000] [DWR=000]: REFRESH Command -# [1874262508000] [DWR=000]: REFRESH Command -# [1882044 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1882067508000] [DWR=000]: REFRESH Command -# [1882067508000] [DWR=000]: REFRESH Command -# [1889872508000] [DWR=000]: REFRESH Command -# [1889872508000] [DWR=000]: REFRESH Command -# [1891244 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1897677508000] [DWR=000]: REFRESH Command -# [1897677508000] [DWR=000]: REFRESH Command -# [1901084 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1905482508000] [DWR=000]: REFRESH Command -# [1905482508000] [DWR=000]: REFRESH Command -# [1910964 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [1913287508000] [DWR=000]: REFRESH Command -# [1913287508000] [DWR=000]: REFRESH Command -# [1921092508000] [DWR=000]: REFRESH Command -# [1921092508000] [DWR=000]: REFRESH Command -# [1928897508000] [DWR=000]: REFRESH Command -# [1928897508000] [DWR=000]: REFRESH Command -# [1936702508000] [DWR=000]: REFRESH Command -# [1936702508000] [DWR=000]: REFRESH Command -# [1944507508000] [DWR=000]: REFRESH Command -# [1944507508000] [DWR=000]: REFRESH Command -# [1952312508000] [DWR=000]: REFRESH Command -# [1952312508000] [DWR=000]: REFRESH Command -# [1960117508000] [DWR=000]: REFRESH Command -# [1960117508000] [DWR=000]: REFRESH Command -# [1967922508000] [DWR=000]: REFRESH Command -# [1967922508000] [DWR=000]: REFRESH Command -# [1975727508000] [DWR=000]: REFRESH Command -# [1975727508000] [DWR=000]: REFRESH Command -# [1983532508000] [DWR=000]: REFRESH Command -# [1983532508000] [DWR=000]: REFRESH Command -# [1991337508000] [DWR=000]: REFRESH Command -# [1991337508000] [DWR=000]: REFRESH Command -# [1999142508000] [DWR=000]: REFRESH Command -# [1999142508000] [DWR=000]: REFRESH Command -quit -sim -vsim -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L stratixiv_ver -L stratixiv_hssi_ver -L stratixiv_pcie_hip_ver -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L stratixiv -L stratixiv_hssi -L stratixiv_pcie_hip -novopt work.tb_unb1_test_ddr_MB_I_II -# vsim -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L stratixiv_ver -L stratixiv_hssi_ver -L stratixiv_pcie_hip_ver -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L stratixiv -L stratixiv_hssi -L stratixiv_pcie_hip -novopt work.tb_unb1_test_ddr_MB_I_II -# Loading std.standard -# Loading ieee.std_logic_1164(body) -# Loading ieee.numeric_std(body) -# Loading ieee.math_real(body) -# Loading common_lib.common_pkg(body) -# Loading dp_lib.dp_stream_pkg(body) -# Loading unb1_board_lib.unb1_board_pkg(body) -# Loading std.textio(body) -# Loading ieee.std_logic_textio(body) -# Loading common_lib.tb_common_pkg(body) -# Loading technology_lib.technology_pkg(body) -# Loading tech_ddr_lib.tech_ddr_pkg(body) -# Loading tech_ddr_lib.tech_ddr_mem_model_component_pkg(body) -# Loading work.tb_unb1_test_ddr_mb_i_ii(tb) -# Loading common_lib.common_mem_pkg(body) -# Loading common_lib.common_interface_layers_pkg(body) -# Loading common_lib.common_network_layers_pkg(body) -# Loading common_lib.common_str_pkg(body) -# Loading common_lib.common_field_pkg(body) -# Loading diag_lib.diag_pkg(body) -# Loading tech_tse_lib.tech_tse_pkg(body) -# Loading eth_lib.eth_pkg(body) -# Loading reorder_lib.reorder_pkg(body) -# Loading unb1_test_lib.unb1_test_pkg -# Loading i2c_lib.i2c_dev_max1617_pkg -# Loading i2c_lib.i2c_dev_ltc4260_pkg -# Loading unb1_test_lib.tb_unb1_test(tb) -# Loading i2c_lib.i2c_pkg(body) -# Loading common_lib.tb_common_mem_pkg(body) -# Loading common_lib.common_network_total_header_pkg(body) -# Loading unb1_board_lib.unb1_board_peripherals_pkg(body) -# Loading mm_lib.mm_file_pkg(body) -# Loading mm_lib.mm_file_unb_pkg(body) -# Loading common_lib.common_lfsr_sequences_pkg(body) -# Loading dp_lib.tb_dp_pkg(body) -# Loading tech_tse_lib.tb_tech_tse_pkg(body) -# Loading unb1_test_lib.qsys_unb1_test_pkg -# Loading technology_lib.technology_select_pkg -# Loading tech_mac_10g_lib.tech_mac_10g_component_pkg(body) -# Loading unb1_test_lib.unb1_test(str) -# Loading common_lib.common_areset(str) -# Loading common_lib.common_async(rtl) -# Loading tech_flash_lib.tech_flash_component_pkg(body) -# Loading unb1_board_lib.ctrl_unb1_board(str) -# Loading tech_pll_lib.tech_pll_component_pkg -# Loading unb1_board_lib.unb1_board_clk200_pll(stratix4) -# Loading tech_pll_lib.tech_pll_clk200(str) -# Loading ip_stratixiv_pll_lib.ip_stratixiv_pll_clk200(syn) -# Loading altera_mf.altera_device_families(body) -# Loading altera_mf.mf_pllpack(body) -# Loading ieee.std_logic_arith(body) -# Loading ieee.std_logic_unsigned(body) -# Loading altera_mf.altpll(behavior) -# Loading altera_mf.mf_stratixiii_pll(vital_pll) -# Loading altera_mf.mf_ttn_mn_cntr(behave) -# Loading altera_mf.mf_ttn_scale_cntr(behave) -# Loading unb1_board_lib.unb1_board_clk25_pll(stratixiv) -# Loading tech_pll_lib.tech_pll_clk25(str) -# Loading ip_stratixiv_pll_clk25_lib.ip_stratixiv_pll_clk25(syn) -# Loading unb1_board_lib.unb1_board_node_ctrl(str) -# Loading unb1_board_lib.unb1_board_clk_rst(str) -# Loading common_lib.common_pulser_us_ms_s(str) -# Loading common_lib.common_pulser(rtl) -# Loading common_lib.common_counter(rtl) -# Loading unb1_board_lib.unb1_board_wdi_extend(str) -# Loading common_lib.common_evt(rtl) -# Loading unb1_board_lib.mms_unb1_board_system_info(str) -# Loading unb1_board_lib.unb1_board_system_info(str) -# Loading unb1_board_lib.unb1_board_system_info_reg(rtl) -# Loading common_lib.common_rom(str) -# Loading common_lib.common_ram_r_w(str) -# Loading common_lib.common_ram_rw_rw(str) -# Loading tech_memory_lib.tech_memory_component_pkg -# Loading common_lib.common_ram_crw_crw(str) -# Loading tech_memory_lib.tech_memory_ram_crw_crw(str) -# Loading ip_stratixiv_ram_lib.ip_stratixiv_ram_crw_crw(syn) -# Loading altera_mf.altera_common_conversion(body) -# Loading altera_mf.altsyncram(translated) -# Loading common_lib.common_pipeline(rtl) -# Loading common_lib.common_toggle(rtl) -# Loading unb1_board_lib.unb1_board_wdi_reg(rtl) -# Loading remu_lib.mms_remu(str) -# Loading tech_flash_lib.tech_flash_remote_update(str) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_remote_update(rtl) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_remote_update_rmtupdt_jol(rtl) -# Loading lpm.lpm_components -# Loading lpm.lpm_common_conversion(body) -# Loading lpm.lpm_counter(lpm_syn) -# Loading ieee.vital_timing(body) -# Loading ieee.vital_primitives(body) -# Loading stratixiv.stratixiv_atom_pack(body) -# Loading stratixiv.stratixiv_rublock(architecture_rublock) -# Loading remu_lib.remu_reg(rtl) -# Loading common_lib.common_spulse(rtl) -# Loading common_lib.common_switch(rtl) -# Loading common_lib.common_reg_cross_domain(rtl) -# Loading epcs_lib.mms_epcs(str) -# Loading epcs_lib.epcs_reg(rtl) -# Loading dp_lib.dp_fifo_dc_mixed_widths(str) -# Loading tech_fifo_lib.tech_fifo_component_pkg -# Loading common_lib.common_fifo_dc_mixed_widths(str) -# Loading tech_fifo_lib.tech_fifo_dc_mixed_widths(str) -# Loading ip_stratixiv_fifo_lib.ip_stratixiv_fifo_dc_mixed_widths(syn) -# Loading altera_mf.altera_mf_hint_evaluation(body) -# Loading altera_mf.dcfifo_mixed_widths(behavior) -# Loading altera_mf.dcfifo_async(behavior) -# Loading altera_mf.dcfifo_dffpipe(behavior) -# Loading altera_mf.dcfifo_fefifo(behavior) -# Loading altera_mf.dcfifo_sync(behavior) -# Loading altera_mf.dcfifo_low_latency(behavior) -# Loading dp_lib.dp_latency_adapter(rtl) -# Loading tech_flash_lib.tech_flash_asmi_parallel(str) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_asmi_parallel(rtl) -# Loading numonyx_m25p128_lib.def -# Loading numonyx_m25p128_lib.cuicommanddata(body) -# Loading numonyx_m25p128_lib.data -# Loading numonyx_m25p128_lib.timingdata -# Loading numonyx_m25p128_lib.stringlib(body) -# Loading numonyx_m25p128_lib.blocklib(body) -# Loading ip_stratixiv_flash_lib.ip_stratixiv_asmi_parallel_altasmi_parallel_15a2(rtl) -# Loading numonyx_m25p128_lib.m25p128(behavior) -# Loading numonyx_m25p128_lib.cuidecoder_entity(behavior) -# Loading numonyx_m25p128_lib.blocklock_entity(behavior) -# Loading numonyx_m25p128_lib.statusregister_entity(behavior) -# Loading numonyx_m25p128_lib.kernel_entity(behavior) -# Loading numonyx_m25p128_lib.memorylib(body) -# Loading numonyx_m25p128_lib.memory_entity(behavior) -# Loading numonyx_m25p128_lib.program_entity(behavior) -# Loading numonyx_m25p128_lib.erase_entity(behavior) -# Loading ieee.std_logic_signed(body) -# Loading numonyx_m25p128_lib.timingcheck_entity(behavior) -# Loading altera_mf.a_graycounter(behavior) -# Loading lpm.lpm_compare(lpm_syn) -# Loading lpm.lpm_compare_unsigned(lpm_syn) -# Loading altera_mf.scfifo(behavior) -# Loading stratixiv.stratixiv_asmiblock(architecture_asmiblock) -# Loading dp_lib.mms_dp_fifo_to_mm(str) -# Loading dp_lib.dp_fifo_to_mm(str) -# Loading dp_lib.dp_fifo_to_mm_reg(rtl) -# Loading dp_lib.mms_dp_fifo_from_mm(str) -# Loading dp_lib.dp_fifo_from_mm(str) -# Loading dp_lib.dp_fifo_from_mm_reg(rtl) -# Loading ppsh_lib.mms_ppsh(str) -# Loading ppsh_lib.ppsh(rtl) -# Loading tech_iobuf_lib.tech_iobuf_component_pkg -# Loading common_lib.common_ddio_in(str) -# Loading tech_iobuf_lib.tech_iobuf_ddio_in(str) -# Loading altera_mf.altera_mf_components -# Loading ip_stratixiv_ddio_lib.ip_stratixiv_ddio_in(str) -# Loading altera_mf.altddio_in(behave) -# Loading common_lib.common_interval_monitor(rtl) -# Loading common_lib.common_pipeline_sl(str) -# Loading common_lib.common_stable_monitor(rtl) -# Loading ppsh_lib.ppsh_reg(rtl) -# Loading unb1_board_lib.mms_unb1_board_sens(str) -# Loading unb1_board_lib.unb1_board_sens_reg(rtl) -# Loading i2c_lib.i2c_smbus_pkg -# Loading unb1_board_lib.unb1_board_sens(str) -# Loading unb1_board_lib.unb1_board_sens_ctrl(rtl) -# Loading i2c_lib.i2c_smbus(rtl) -# Loading i2c_lib.i2c_byte(structural) -# Loading i2c_lib.i2c_bit(rtl) -# Loading common_lib.common_pulse_extend(rtl) -# Loading unb1_test_lib.mmm_unb1_test(str) -# Loading mm_lib.mm_file(str) -# Loading unb1_test_lib.ddr_stream(str) -# Loading io_ddr_lib.mms_io_ddr_diag(str) -# Loading io_ddr_lib.mms_io_ddr(str) -# Loading common_lib.common_mem_mux(rtl) -# Loading io_ddr_lib.io_ddr(str) -# Loading io_ddr_lib.io_ddr_cross_domain(str) -# Loading dp_lib.dp_latency_increase(rtl) -# Loading dp_lib.dp_flush(rtl) -# Loading io_ddr_lib.io_ddr_driver_flush_ctrl(str) -# Loading io_ddr_lib.io_ddr_driver(str) -# Loading tech_ddr_lib.tech_ddr_component_pkg(body) -# Loading tech_ddr_lib.tech_ddr(str) -# Loading tech_ddr_lib.tech_ddr_stratixiv(str) -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002 -# Loading sv_std.std -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_reset_sync -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_fr_cycle_shifter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_write_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads -# Loading altera_mf_ver.altddio_out -# Loading altera_mf_ver.ALTERA_DEVICE_FAMILIES -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.afi_mux_ddr3_ddrx -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_test_bench -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a_module -# Loading altera_mf_ver.altsyncram -# Loading altera_mf_ver.ALTERA_MF_MEMORY_INITIALIZATION -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b_module -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_reg_file -# Loading altera_mf_ver.altdpram -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_reg_file -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_phy_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_data_mgr -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_ddr3 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_generic -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_core -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_di_buffer_wrap -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_di_buffer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_write_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_data_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_dm_decoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_lfsr12 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_read_datapath -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_bitcheck -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_pattern_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_data_broadcast -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_jumplogic -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_sequencer_mem_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_master_translator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_slave_translator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_slave_agent -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_burst_uncompressor -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_avalon_sc_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_master_agent -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_addr_router_001_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_id_router_003_default_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_reset_controller -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_demux_001 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_cmd_xbar_mux_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_arbitrator -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_merlin_arb_adder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_demux_003 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_rsp_xbar_mux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_s0_irq_mapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_if_nextgen_ddr3_controller_core -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_controller_st_top -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_controller -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_input_if -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_cmd_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_tbp -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_arbiter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_burst_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_addr_cmd_wrap -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_rdwr_data_tmg -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_wdata_path -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_list -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_burst_tracking -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_dataid_manager -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_fifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_rdata_path -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ecc_encoder_decoder_wrapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_sideband -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_rank_timer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_timing_param -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_mm_st_converter -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_oct_stratixiv -# Loading stratixiv_ver.stratixiv_termination -# Loading stratixiv_ver.stratixiv_termination_aux_clock_div -# Loading stratixiv_ver.stratixiv_rt_sm -# Loading stratixiv_ver.stratixiv_termination_logic -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_mem_if_dll_stratixiv -# Loading stratixiv_ver.stratixiv_dll -# Loading altera_lnsim_ver.altera_lnsim_functions -# Loading altera_lnsim_ver.altera_pll -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_valid_selector -# Loading lpm_ver.lpm_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_flop_mem -# Loading lpm_ver.lpm_mux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_clock_pair_generator -# Loading stratixiv_ver.stratixiv_io_obuf -# Loading stratixiv_ver.stratixiv_pseudo_diff_out -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_siii_wrapper -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.sequencer_scc_siii_phase_decode -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_lfsr36 -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_inst_ROM_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_ac_ROM_no_ifdef_params -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.rw_manager_datamux -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altera_reset_synchronizer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_addr_cmd -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_odt_gen -# Loading altera_mf_ver.scfifo -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_buffer -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ecc_encoder -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ecc_decoder -# Loading altera_lnsim_ver.altera_generic_pll_functions -# Loading altera_lnsim_ver.generic_pll -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altdq_dqs2_abstract -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ddr2_odt_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.alt_mem_ddrx_ddr3_odt_gen -# Loading ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_lib.altdq_dqs2_cal_delays -# Loading stratixiv_ver.stratixiv_io_config -# Loading stratixiv_ver.stratixiv_dqs_config -# Loading common_lib.common_reg_r_w_dc(str) -# Loading common_lib.common_reg_r_w(rtl) -# Loading io_ddr_lib.io_ddr_reg(rtl) -# Loading diag_lib.mms_diag_block_gen(rtl) -# Loading diag_lib.mms_diag_tx_seq(str) -# Loading diag_lib.diag_tx_seq(rtl) -# Loading diag_lib.mms_diag_data_buffer(str) -# Loading diag_lib.mms_diag_rx_seq(str) -# Loading diag_lib.diag_rx_seq(rtl) -# Loading tech_ddr_lib.tech_ddr_memory_model(str) -# Loading ip_stratixiv_ddr3_mem_model_lib.alt_mem_if_ddr3_mem_model_top_ddr3_mem_if_dm_pins_en_mem_if_dqsn_en -# Loading i2c_lib.dev_max1618(beh) -# Loading i2c_lib.i2c_slv_device(beh) -# Loading i2c_lib.dev_ltc4260(beh) -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002.v(164): [TFMPC] - Too few port connections. Expected 12, found 11. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0 -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002.v(164): [TFMPC] - Missing connection for port 'reset_request_n'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0.sv(248): [TFMPC] - Too few port connections. Expected 9, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0.sv(248): [TFMPC] - Missing connection for port 'reconfig_to_pll'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0.sv(248): [TFMPC] - Missing connection for port 'reconfig_from_pll'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0.sv(248): [TFMPC] - Missing connection for port 'zdbfbclk'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[0]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[1]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[2]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[3]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[4]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[5]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[6]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[7]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[8]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[9]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0.sv(513): [TFMPC] - Too few port connections. Expected 81, found 78. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0.sv(513): [TFMPC] - Missing connection for port 'afi_wlat'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0.sv(513): [TFMPC] - Missing connection for port 'afi_rlat'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0.sv(513): [TFMPC] - Missing connection for port 'afi_cal_debug_info'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy.v(471): [TFMPC] - Too few port connections. Expected 21, found 19. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/ureset -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy.v(471): [TFMPC] - Missing connection for port 'seq_reset_mem_stable'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy.v(471): [TFMPC] - Missing connection for port 'reset_n_read_capture_clk'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy.v(618): [PCDPC] - Port size (1 or 1) does not match connection size (2) for port 'afi_rdata_en'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath.v(37). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uread_datapath -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy.v(618): [PCDPC] - Port size (1 or 1) does not match connection size (2) for port 'afi_rdata_en_full'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath.v(38). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uread_datapath -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy.v(618): [PCDPC] - Port size (1 or 1) does not match connection size (2) for port 'afi_rdata_valid'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath.v(43). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uread_datapath -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(245): [TFMPC] - Too few port connections. Expected 30, found 28. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(245): [TFMPC] - Missing connection for port 'pll_c2p_write_clk'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(245): [TFMPC] - Missing connection for port 'pll_hr_clk'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(180): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/uaddress_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(180): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(180): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(180): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(205): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/ubank_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(205): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(205): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(205): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(229): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/ucs_n_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(229): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(229): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(229): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(253): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/ucke_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(253): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(253): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(253): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(274): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/uodt_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(274): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(274): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(274): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(295): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/uwe_n_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(295): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(295): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(295): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(317): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/uras_n_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(317): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(317): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(317): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(340): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/ucas_n_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(340): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(340): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(340): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(361): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/ureset_n_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(361): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(361): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(361): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(397): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/clock_gen[0]/umem_ck_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(397): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(397): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(397): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(397): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/clock_gen[1]/umem_ck_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(397): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(397): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(397): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(340): [PCDPC] - Port size (32 or 32) does not match connection size (16) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(29). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Too few port connections. Expected 40, found 29. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [PCDPC] - Port size (16 or 16) does not match connection size (32) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_abstract.sv(50). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dll_offsetdelay_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_n_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_n_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_data_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_strobe_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dr_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'read_data_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'write_data_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_tracking'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Too few port connections. Expected 17, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_io_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterdelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Too few port connections. Expected 31, found 19. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dividerphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputcycledelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enadataoutbypass'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputphasetransferreg'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphaseinvert'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsbusoutfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsenablefinedelaysetting'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[0]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[1]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[2]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[3]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[4]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[5]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[6]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[7]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/extra_settings[0]/extra_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(340): [PCDPC] - Port size (32 or 32) does not match connection size (16) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(29). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Too few port connections. Expected 40, found 29. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [PCDPC] - Port size (16 or 16) does not match connection size (32) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_abstract.sv(50). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dll_offsetdelay_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_n_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_n_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_data_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_strobe_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dr_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'read_data_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'write_data_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_tracking'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Too few port connections. Expected 17, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_io_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterdelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Too few port connections. Expected 31, found 19. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dividerphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputcycledelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enadataoutbypass'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputphasetransferreg'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphaseinvert'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsbusoutfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsenablefinedelaysetting'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[0]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[1]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[2]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[3]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[4]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[5]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[6]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[7]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/extra_settings[0]/extra_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(340): [PCDPC] - Port size (32 or 32) does not match connection size (16) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(29). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Too few port connections. Expected 40, found 29. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [PCDPC] - Port size (16 or 16) does not match connection size (32) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_abstract.sv(50). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dll_offsetdelay_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_n_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_n_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_data_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_strobe_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dr_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'read_data_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'write_data_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_tracking'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Too few port connections. Expected 17, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_io_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterdelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Too few port connections. Expected 31, found 19. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dividerphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputcycledelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enadataoutbypass'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputphasetransferreg'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphaseinvert'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsbusoutfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsenablefinedelaysetting'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[0]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[1]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[2]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[3]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[4]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[5]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[6]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[7]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/extra_settings[0]/extra_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(340): [PCDPC] - Port size (32 or 32) does not match connection size (16) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(29). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Too few port connections. Expected 40, found 29. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [PCDPC] - Port size (16 or 16) does not match connection size (32) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_abstract.sv(50). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dll_offsetdelay_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_n_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_n_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_data_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_strobe_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dr_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'read_data_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'write_data_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_tracking'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Too few port connections. Expected 17, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_io_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterdelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Too few port connections. Expected 31, found 19. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dividerphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputcycledelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enadataoutbypass'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputphasetransferreg'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphaseinvert'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsbusoutfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsenablefinedelaysetting'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[0]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[1]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[2]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[3]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[4]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[5]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[6]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[7]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/extra_settings[0]/extra_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(340): [PCDPC] - Port size (32 or 32) does not match connection size (16) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(29). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Too few port connections. Expected 40, found 29. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [PCDPC] - Port size (16 or 16) does not match connection size (32) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_abstract.sv(50). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dll_offsetdelay_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_n_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_n_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_data_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_strobe_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dr_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'read_data_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'write_data_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_tracking'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Too few port connections. Expected 17, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_io_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterdelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Too few port connections. Expected 31, found 19. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dividerphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputcycledelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enadataoutbypass'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputphasetransferreg'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphaseinvert'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsbusoutfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsenablefinedelaysetting'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[0]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[1]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[2]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[3]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[4]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[5]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[6]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[7]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/extra_settings[0]/extra_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(340): [PCDPC] - Port size (32 or 32) does not match connection size (16) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(29). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Too few port connections. Expected 40, found 29. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [PCDPC] - Port size (16 or 16) does not match connection size (32) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_abstract.sv(50). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dll_offsetdelay_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_n_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_n_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_data_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_strobe_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dr_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'read_data_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'write_data_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_tracking'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Too few port connections. Expected 17, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_io_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterdelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Too few port connections. Expected 31, found 19. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dividerphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputcycledelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enadataoutbypass'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputphasetransferreg'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphaseinvert'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsbusoutfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsenablefinedelaysetting'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[0]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[1]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[2]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[3]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[4]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[5]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[6]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[7]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/extra_settings[0]/extra_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(340): [PCDPC] - Port size (32 or 32) does not match connection size (16) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(29). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Too few port connections. Expected 40, found 29. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [PCDPC] - Port size (16 or 16) does not match connection size (32) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_abstract.sv(50). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dll_offsetdelay_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_n_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_n_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_data_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_strobe_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dr_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'read_data_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'write_data_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_tracking'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Too few port connections. Expected 17, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_io_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterdelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Too few port connections. Expected 31, found 19. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dividerphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputcycledelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enadataoutbypass'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputphasetransferreg'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphaseinvert'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsbusoutfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsenablefinedelaysetting'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[0]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[1]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[2]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[3]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[4]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[5]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[6]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[7]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/extra_settings[0]/extra_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(340): [PCDPC] - Port size (32 or 32) does not match connection size (16) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(29). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Too few port connections. Expected 40, found 29. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [PCDPC] - Port size (16 or 16) does not match connection size (32) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_abstract.sv(50). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dll_offsetdelay_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_n_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_n_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_data_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_strobe_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dr_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'read_data_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'write_data_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_tracking'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Too few port connections. Expected 17, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_io_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterdelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Too few port connections. Expected 31, found 19. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dividerphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputcycledelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enadataoutbypass'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputphasetransferreg'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphaseinvert'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsbusoutfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsenablefinedelaysetting'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[0]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[1]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[2]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[3]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[4]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[5]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[6]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[7]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/extra_settings[0]/extra_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002.v(325): [TFMPC] - Too few port connections. Expected 62, found 61. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/m0 -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002.v(325): [TFMPC] - Missing connection for port 'phy_mux_cal_req'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Too few port connections. Expected 23, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/cpu_inst/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a/the_altsyncram -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'wren_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'rden_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'rden_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'data_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'clock1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'clocken0'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'clocken1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'clocken2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'clocken3'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'aclr0'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'aclr1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'byteena_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'byteena_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'addressstall_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'addressstall_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'q_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'eccstatus'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Too few port connections. Expected 23, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/cpu_inst/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b/the_altsyncram -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'wren_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'rden_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'rden_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'data_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'clock1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'clocken0'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'clocken1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'clocken2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'clocken3'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'aclr0'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'aclr1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'byteena_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'byteena_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'addressstall_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'addressstall_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'q_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'eccstatus'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_mgr.sv(360): [PCDPC] - Port size (5 or 5) does not match connection size (6) for port 'rdaddress'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_reg_file.v(20). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_scc_mgr_inst/sequencer_scc_reg_file_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_mgr.sv(360): [PCDPC] - Port size (5 or 5) does not match connection size (6) for port 'wraddress'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_reg_file.v(21). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_scc_mgr_inst/sequencer_scc_reg_file_inst -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_wrapper.sv(74): [TFMPC] - Too few port connections. Expected 5, found 3. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_scc_mgr_inst/genblk2/sequencer_scc_family_wrapper/sequencer_scc_phase_decode_dqe_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_wrapper.sv(74): [PCDPC] - Port size (32 or 32) does not match connection size (24) for port 'avl_writedata'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_phase_decode.v(23). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_scc_mgr_inst/genblk2/sequencer_scc_family_wrapper/sequencer_scc_phase_decode_dqe_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_wrapper.sv(74): [TFMPC] - Missing connection for port 'dqs_phase'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_wrapper.sv(74): [TFMPC] - Missing connection for port 'dq_phase'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_wrapper.sv(83): [TFMPC] - Too few port connections. Expected 5, found 3. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_scc_mgr_inst/genblk2/sequencer_scc_family_wrapper/sequencer_scc_phase_decode_dqdqs_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_wrapper.sv(83): [PCDPC] - Port size (32 or 32) does not match connection size (24) for port 'avl_writedata'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_phase_decode.v(23). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_scc_mgr_inst/genblk2/sequencer_scc_family_wrapper/sequencer_scc_phase_decode_dqdqs_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_wrapper.sv(83): [TFMPC] - Missing connection for port 'dqsi_phase'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_wrapper.sv(83): [TFMPC] - Missing connection for port 'dqse_phase'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/rw_manager_ddr3.v(142): [PCDPC] - Port size (1 or 1) does not match connection size (2) for port 'afi_rdata_valid'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/rw_manager_generic.sv(32). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_rw_mgr_inst/rw_mgr_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/rw_manager_core.sv(404): [PCDPC] - Port size (32 or 32) does not match connection size (29) for port 'data'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/rw_manager_ac_ROM_no_ifdef_params.v(24). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_rw_mgr_inst/rw_mgr_inst/rw_mgr_core_inst/genblk4/genblk1/ac_ROM_i -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/rw_manager_core.sv(404): [PCDPC] - Port size (32 or 32) does not match connection size (29) for port 'q'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/rw_manager_ac_ROM_no_ifdef_params.v(28). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_rw_mgr_inst/rw_mgr_inst/rw_mgr_core_inst/genblk4/genblk1/ac_ROM_i -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Too few port connections. Expected 23, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_mem/the_altsyncram -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'wren_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'rden_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'rden_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'data_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'address_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'clock1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'clocken1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'clocken2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'clocken3'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'aclr0'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'aclr1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'byteena_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'addressstall_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'addressstall_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'q_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'eccstatus'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0.v(238): [TFMPC] - Too few port connections. Expected 77, found 76. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/c0/ng0 -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0.v(238): [TFMPC] - Missing connection for port 'ecc_interrupt'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_if_nextgen_ddr3_controller_core.sv(500): [PCDPC] - Port size (16 or 16) does not match connection size (2) for port 'afi_rdata_en'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller_st_top.v(63). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/c0/ng0/alt_mem_ddrx_controller_top_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_if_nextgen_ddr3_controller_core.sv(500): [PCDPC] - Port size (16 or 16) does not match connection size (2) for port 'afi_rdata_en_full'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller_st_top.v(64). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/c0/ng0/alt_mem_ddrx_controller_top_inst -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller_st_top.v(1142): [TFMPC] - Too few port connections. Expected 155, found 151. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/c0/ng0/alt_mem_ddrx_controller_top_inst/controller_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller_st_top.v(1142): [TFMPC] - Missing connection for port 'itf_rd_data_id_early'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller_st_top.v(1142): [TFMPC] - Missing connection for port 'itf_rd_data_id_early_valid'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller_st_top.v(1142): [TFMPC] - Missing connection for port 'sts_cal_fail'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller_st_top.v(1142): [TFMPC] - Missing connection for port 'sts_cal_success'. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller.v(1830): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0.v(287): [TFMPC] - Too few port connections. Expected 41, found 40. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/c0/a0 -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0.v(287): [TFMPC] - Missing connection for port 'local_rdata_error'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_oct_stratixiv.sv(86): [TFMPC] - Too few port connections. Expected 19, found 18. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/oct0/sd1a_0 -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_oct_stratixiv.sv(86): [TFMPC] - Missing connection for port 'scanin'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002.v(164): [TFMPC] - Too few port connections. Expected 12, found 11. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0 -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002.v(164): [TFMPC] - Missing connection for port 'reset_request_n'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0.sv(248): [TFMPC] - Too few port connections. Expected 9, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0.sv(248): [TFMPC] - Missing connection for port 'reconfig_to_pll'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0.sv(248): [TFMPC] - Missing connection for port 'reconfig_from_pll'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_pll0.sv(248): [TFMPC] - Missing connection for port 'zdbfbclk'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[0]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[1]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[2]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[3]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[4]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[5]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[6]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[7]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[8]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Too few port connections. Expected 14, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/pll0/genblk1/pll_inst/genblk2/general[9]/gpll -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writerefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writeoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writephaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'writedutycycledata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readrefclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readoutclkdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readphaseshiftdata'. -# ** Warning: (vsim-3722) /home/software/Altera/11.1/quartus/eda/sim_lib/altera_lnsim.sv(1843): [TFMPC] - Missing connection for port 'readdutycycledata'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0.sv(513): [TFMPC] - Too few port connections. Expected 81, found 78. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0.sv(513): [TFMPC] - Missing connection for port 'afi_wlat'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0.sv(513): [TFMPC] - Missing connection for port 'afi_rlat'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0.sv(513): [TFMPC] - Missing connection for port 'afi_cal_debug_info'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy.v(471): [TFMPC] - Too few port connections. Expected 21, found 19. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/ureset -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy.v(471): [TFMPC] - Missing connection for port 'seq_reset_mem_stable'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy.v(471): [TFMPC] - Missing connection for port 'reset_n_read_capture_clk'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy.v(618): [PCDPC] - Port size (1 or 1) does not match connection size (2) for port 'afi_rdata_en'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath.v(37). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uread_datapath -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy.v(618): [PCDPC] - Port size (1 or 1) does not match connection size (2) for port 'afi_rdata_en_full'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath.v(38). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uread_datapath -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_memphy.v(618): [PCDPC] - Port size (1 or 1) does not match connection size (2) for port 'afi_rdata_valid'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_read_datapath.v(43). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uread_datapath -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(245): [TFMPC] - Too few port connections. Expected 30, found 28. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(245): [TFMPC] - Missing connection for port 'pll_c2p_write_clk'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(245): [TFMPC] - Missing connection for port 'pll_hr_clk'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(180): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/uaddress_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(180): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(180): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(180): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(205): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/ubank_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(205): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(205): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(205): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(229): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/ucs_n_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(229): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(229): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(229): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(253): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/ucke_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(253): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(253): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(253): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(274): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/uodt_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(274): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(274): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(274): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(295): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/uwe_n_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(295): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(295): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(295): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(317): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/uras_n_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(317): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(317): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(317): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(340): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/ucas_n_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(340): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(340): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(340): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(361): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/ureset_n_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(361): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(361): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(361): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(397): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/clock_gen[0]/umem_ck_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(397): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(397): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(397): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(397): [TFMPC] - Too few port connections. Expected 11, found 8. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/uaddr_cmd_pads/clock_gen[1]/umem_ck_pad -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(397): [TFMPC] - Missing connection for port 'sset'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(397): [TFMPC] - Missing connection for port 'sclr'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_addr_cmd_pads.v(397): [TFMPC] - Missing connection for port 'oe_out'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(340): [PCDPC] - Port size (32 or 32) does not match connection size (16) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(29). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Too few port connections. Expected 40, found 29. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [PCDPC] - Port size (16 or 16) does not match connection size (32) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_abstract.sv(50). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dll_offsetdelay_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_n_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_n_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_data_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_strobe_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dr_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'read_data_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'write_data_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_tracking'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Too few port connections. Expected 17, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_io_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterdelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Too few port connections. Expected 31, found 19. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dividerphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputcycledelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enadataoutbypass'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputphasetransferreg'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphaseinvert'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsbusoutfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsenablefinedelaysetting'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[0]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[1]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[2]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[3]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[4]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[5]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[6]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[7]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[0]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/extra_settings[0]/extra_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(340): [PCDPC] - Port size (32 or 32) does not match connection size (16) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(29). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Too few port connections. Expected 40, found 29. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [PCDPC] - Port size (16 or 16) does not match connection size (32) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_abstract.sv(50). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dll_offsetdelay_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_n_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_n_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_data_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_strobe_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dr_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'read_data_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'write_data_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_tracking'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Too few port connections. Expected 17, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_io_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterdelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Too few port connections. Expected 31, found 19. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dividerphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputcycledelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enadataoutbypass'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputphasetransferreg'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphaseinvert'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsbusoutfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsenablefinedelaysetting'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[0]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[1]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[2]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[3]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[4]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[5]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[6]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[7]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[1]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/extra_settings[0]/extra_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(340): [PCDPC] - Port size (32 or 32) does not match connection size (16) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(29). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Too few port connections. Expected 40, found 29. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [PCDPC] - Port size (16 or 16) does not match connection size (32) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_abstract.sv(50). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dll_offsetdelay_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_n_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_n_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_data_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_strobe_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dr_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'read_data_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'write_data_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_tracking'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Too few port connections. Expected 17, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_io_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterdelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Too few port connections. Expected 31, found 19. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dividerphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputcycledelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enadataoutbypass'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputphasetransferreg'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphaseinvert'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsbusoutfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsenablefinedelaysetting'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[0]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[1]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[2]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[3]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[4]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[5]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[6]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[7]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[2]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/extra_settings[0]/extra_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(340): [PCDPC] - Port size (32 or 32) does not match connection size (16) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(29). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Too few port connections. Expected 40, found 29. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [PCDPC] - Port size (16 or 16) does not match connection size (32) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_abstract.sv(50). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dll_offsetdelay_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_n_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_n_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_data_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_strobe_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dr_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'read_data_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'write_data_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_tracking'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Too few port connections. Expected 17, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_io_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterdelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Too few port connections. Expected 31, found 19. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dividerphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputcycledelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enadataoutbypass'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputphasetransferreg'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphaseinvert'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsbusoutfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsenablefinedelaysetting'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[0]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[1]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[2]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[3]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[4]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[5]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[6]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[7]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[3]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/extra_settings[0]/extra_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(340): [PCDPC] - Port size (32 or 32) does not match connection size (16) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(29). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Too few port connections. Expected 40, found 29. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [PCDPC] - Port size (16 or 16) does not match connection size (32) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_abstract.sv(50). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dll_offsetdelay_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_n_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_n_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_data_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_strobe_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dr_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'read_data_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'write_data_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_tracking'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Too few port connections. Expected 17, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_io_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterdelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Too few port connections. Expected 31, found 19. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dividerphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputcycledelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enadataoutbypass'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputphasetransferreg'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphaseinvert'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsbusoutfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsenablefinedelaysetting'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[0]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[1]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[2]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[3]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[4]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[5]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[6]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[7]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[4]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/extra_settings[0]/extra_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(340): [PCDPC] - Port size (32 or 32) does not match connection size (16) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(29). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Too few port connections. Expected 40, found 29. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [PCDPC] - Port size (16 or 16) does not match connection size (32) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_abstract.sv(50). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dll_offsetdelay_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_n_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_n_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_data_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_strobe_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dr_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'read_data_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'write_data_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_tracking'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Too few port connections. Expected 17, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_io_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterdelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Too few port connections. Expected 31, found 19. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dividerphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputcycledelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enadataoutbypass'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputphasetransferreg'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphaseinvert'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsbusoutfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsenablefinedelaysetting'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[0]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[1]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[2]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[3]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[4]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[5]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[6]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[7]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[5]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/extra_settings[0]/extra_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(340): [PCDPC] - Port size (32 or 32) does not match connection size (16) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(29). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Too few port connections. Expected 40, found 29. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [PCDPC] - Port size (16 or 16) does not match connection size (32) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_abstract.sv(50). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dll_offsetdelay_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_n_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_n_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_data_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_strobe_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dr_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'read_data_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'write_data_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_tracking'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Too few port connections. Expected 17, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_io_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterdelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Too few port connections. Expected 31, found 19. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dividerphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputcycledelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enadataoutbypass'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputphasetransferreg'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphaseinvert'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsbusoutfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsenablefinedelaysetting'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[0]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[1]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[2]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[3]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[4]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[5]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[6]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[7]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[6]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/extra_settings[0]/extra_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_new_io_pads.v(340): [PCDPC] - Port size (32 or 32) does not match connection size (16) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(29). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Too few port connections. Expected 40, found 29. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [PCDPC] - Port size (16 or 16) does not match connection size (32) for port 'read_data_out'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_abstract.sv(50). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dll_offsetdelay_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_n_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'output_strobe_n_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_data_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'fr_strobe_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'dr_clock_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'read_data_in'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'write_data_out'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_p0_altdqdqs.v(126): [TFMPC] - Missing connection for port 'capture_strobe_tracking'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Too few port connections. Expected 17, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_io_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterdelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(188): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Too few port connections. Expected 31, found 19. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/dqs_config_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dividerphasesetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputcycledelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'octdelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enadataoutbypass'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'enainputphasetransferreg'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'resyncinputphaseinvert'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsbusoutfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(214): [TFMPC] - Missing connection for port 'dqsenablefinedelaysetting'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[0]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[1]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[2]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[3]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[4]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[5]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[6]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/data_settings[7]/dq_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(241): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Too few port connections. Expected 17, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/p0/umemphy/uio_pads/dq_ddio[7]/ubidir_dq_dqs/genblk1/altdq_dqs2_inst/genblk1/cal_delays_inst/extra_settings[0]/extra_config -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devclrn'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'devpor'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaymode'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dutycycledelaysettings'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlydelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'outputonlyfinedelaysetting2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'padtoinputregisterfinedelaysetting'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altdq_dqs2_cal_delays.sv(257): [TFMPC] - Missing connection for port 'dataout'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002.v(325): [TFMPC] - Too few port connections. Expected 62, found 61. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/m0 -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_0002.v(325): [TFMPC] - Missing connection for port 'phy_mux_cal_req'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Too few port connections. Expected 23, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/cpu_inst/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_a/the_altsyncram -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'wren_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'rden_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'rden_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'data_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'clock1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'clocken0'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'clocken1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'clocken2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'clocken3'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'aclr0'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'aclr1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'byteena_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'byteena_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'addressstall_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'addressstall_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'q_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(68): [TFMPC] - Missing connection for port 'eccstatus'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Too few port connections. Expected 23, found 6. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/cpu_inst/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst_register_bank_b/the_altsyncram -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'wren_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'rden_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'rden_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'data_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'clock1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'clocken0'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'clocken1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'clocken2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'clocken3'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'aclr0'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'aclr1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'byteena_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'byteena_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'addressstall_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'addressstall_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'q_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_cpu_no_ifdef_params_sim_cpu_inst.v(131): [TFMPC] - Missing connection for port 'eccstatus'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_mgr.sv(360): [PCDPC] - Port size (5 or 5) does not match connection size (6) for port 'rdaddress'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_reg_file.v(20). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_scc_mgr_inst/sequencer_scc_reg_file_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_mgr.sv(360): [PCDPC] - Port size (5 or 5) does not match connection size (6) for port 'wraddress'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_reg_file.v(21). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_scc_mgr_inst/sequencer_scc_reg_file_inst -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_wrapper.sv(74): [TFMPC] - Too few port connections. Expected 5, found 3. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_scc_mgr_inst/genblk2/sequencer_scc_family_wrapper/sequencer_scc_phase_decode_dqe_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_wrapper.sv(74): [PCDPC] - Port size (32 or 32) does not match connection size (24) for port 'avl_writedata'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_phase_decode.v(23). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_scc_mgr_inst/genblk2/sequencer_scc_family_wrapper/sequencer_scc_phase_decode_dqe_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_wrapper.sv(74): [TFMPC] - Missing connection for port 'dqs_phase'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_wrapper.sv(74): [TFMPC] - Missing connection for port 'dq_phase'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_wrapper.sv(83): [TFMPC] - Too few port connections. Expected 5, found 3. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_scc_mgr_inst/genblk2/sequencer_scc_family_wrapper/sequencer_scc_phase_decode_dqdqs_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_wrapper.sv(83): [PCDPC] - Port size (32 or 32) does not match connection size (24) for port 'avl_writedata'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_phase_decode.v(23). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_scc_mgr_inst/genblk2/sequencer_scc_family_wrapper/sequencer_scc_phase_decode_dqdqs_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_wrapper.sv(83): [TFMPC] - Missing connection for port 'dqsi_phase'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/sequencer_scc_siii_wrapper.sv(83): [TFMPC] - Missing connection for port 'dqse_phase'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/rw_manager_ddr3.v(142): [PCDPC] - Port size (1 or 1) does not match connection size (2) for port 'afi_rdata_valid'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/rw_manager_generic.sv(32). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_rw_mgr_inst/rw_mgr_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/rw_manager_core.sv(404): [PCDPC] - Port size (32 or 32) does not match connection size (29) for port 'data'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/rw_manager_ac_ROM_no_ifdef_params.v(24). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_rw_mgr_inst/rw_mgr_inst/rw_mgr_core_inst/genblk4/genblk1/ac_ROM_i -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/rw_manager_core.sv(404): [PCDPC] - Port size (32 or 32) does not match connection size (29) for port 'q'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/rw_manager_ac_ROM_no_ifdef_params.v(28). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_rw_mgr_inst/rw_mgr_inst/rw_mgr_core_inst/genblk4/genblk1/ac_ROM_i -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Too few port connections. Expected 23, found 7. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/s0/sequencer_mem/the_altsyncram -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'wren_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'rden_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'rden_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'data_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'address_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'clock1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'clocken1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'clocken2'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'clocken3'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'aclr0'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'aclr1'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'byteena_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'addressstall_a'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'addressstall_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'q_b'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_sequencer_mem_no_ifdef_params.sv(67): [TFMPC] - Missing connection for port 'eccstatus'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0.v(238): [TFMPC] - Too few port connections. Expected 77, found 76. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/c0/ng0 -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0.v(238): [TFMPC] - Missing connection for port 'ecc_interrupt'. -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_if_nextgen_ddr3_controller_core.sv(500): [PCDPC] - Port size (16 or 16) does not match connection size (2) for port 'afi_rdata_en'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller_st_top.v(63). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/c0/ng0/alt_mem_ddrx_controller_top_inst -# ** Warning: (vsim-3015) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_if_nextgen_ddr3_controller_core.sv(500): [PCDPC] - Port size (16 or 16) does not match connection size (2) for port 'afi_rdata_en_full'. The port definition is at: /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller_st_top.v(64). -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/c0/ng0/alt_mem_ddrx_controller_top_inst -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller_st_top.v(1142): [TFMPC] - Too few port connections. Expected 155, found 151. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/c0/ng0/alt_mem_ddrx_controller_top_inst/controller_inst -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller_st_top.v(1142): [TFMPC] - Missing connection for port 'itf_rd_data_id_early'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller_st_top.v(1142): [TFMPC] - Missing connection for port 'itf_rd_data_id_early_valid'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller_st_top.v(1142): [TFMPC] - Missing connection for port 'sts_cal_fail'. -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller_st_top.v(1142): [TFMPC] - Missing connection for port 'sts_cal_success'. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_controller.v(1830): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(462): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(641): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-8607) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/alt_mem_ddrx_ecc_encoder_decoder_wrapper.v(746): Non-positive replication multiplier inside concat. Replication will be ignored. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0.v(287): [TFMPC] - Too few port connections. Expected 41, found 40. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/c0/a0 -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_c0.v(287): [TFMPC] - Missing connection for port 'local_rdata_error'. -# ** Warning: (vsim-3017) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_oct_stratixiv.sv(86): [TFMPC] - Too few port connections. Expected 19, found 18. -# Region: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst/oct0/sd1a_0 -# ** Warning: (vsim-3722) /home/zanting/svn/UniBoard_FP7/RadioHDL/trunk/libraries/technology/ip_stratixiv/ddr3_uphy_4g_single_rank_800_master/generated/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_sim/ip_stratixiv_ddr3_uphy_4g_single_rank_800_master/altera_mem_if_oct_stratixiv.sv(86): [TFMPC] - Missing connection for port 'scanin'. -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.waitrequest, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.waitrequest. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(71), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(71). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(70), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(70). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(69), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(69). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(68), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(68). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(67), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(67). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(66), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(66). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(65), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(65). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(64), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(64). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(63), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(63). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(62), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(62). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(61), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(61). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(60), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(60). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(59), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(59). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(58), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(58). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(57), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(57). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(56), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(56). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(55), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(55). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(54), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(54). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(53), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(53). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(52), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(52). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(51), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(51). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(50), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(50). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(49), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(49). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(48), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(48). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(47), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(47). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(46), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(46). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(45), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(45). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(44), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(44). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(43), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(43). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(42), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(42). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(41), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(41). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(40), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(40). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(39), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(39). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(38), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(38). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(37), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(37). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(36), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(36). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(35), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(35). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(34), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(34). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(33), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(33). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/rom_miso.rddata(32), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(32). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_remu/u_remu/gen_ip_stratixiv/u0/ip_stratixiv_remote_update_rmtupdt_jol_component/sd1/regout has no driver. -# This port will contribute value (U) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/blocklock_manager/blocklock_task.task has no driver. -# This port will contribute value (none) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/blocklock_manager/blocklock_task.startblock has no driver. -# This port will contribute value (63) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/blocklock_manager/blocklock_task.address has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/blocklock_manager/blocklock_task.eventtime has no driver. -# This port will contribute value (-9223372036854775807 fs) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/blocklock_manager/blocklock_task.bp(2) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/blocklock_manager/blocklock_task.bp(1) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/blocklock_manager/blocklock_task.bp(0) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/statusregister_manager/statusregister_status.suspended, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/statusregister_status.suspended. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/statusregister_manager/statusregister_status.address, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/statusregister_status.address. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/statusregister_manager/blocklock_task.startblock has no driver. -# This port will contribute value (63) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/statusregister_manager/blocklock_task.address has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/statusregister_manager/blocklock_task.isunlocked has no driver. -# This port will contribute value (false) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/statusregister_manager/blocklock_task.islocked has no driver. -# This port will contribute value (false) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/statusregister_manager/statusregister_task.task has no driver. -# This port will contribute value (none) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/kernel_manager/kernel_verifyevent has no driver. -# This port will contribute value (-9223372036854775807 fs) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/memory_manager/memory_task.task has no driver. -# This port will contribute value (none) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/memory_manager/memory_task.address has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/program_manager/program_status.suspended, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/program_status.suspended. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/program_manager/blocklock_task.startblock has no driver. -# This port will contribute value (63) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/program_manager/blocklock_task.isunlocked has no driver. -# This port will contribute value (false) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/program_manager/blocklock_task.islocked has no driver. -# This port will contribute value (false) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/program_manager/blocklock_task.bp(2) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/program_manager/blocklock_task.bp(1) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/program_manager/blocklock_task.bp(0) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/program_manager/memory_task.addressend has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/program_manager/memory_task.isall1 has no driver. -# This port will contribute value (false) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/program_manager/memory_task.data(7) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/program_manager/memory_task.data(6) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/program_manager/memory_task.data(5) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/program_manager/memory_task.data(4) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/program_manager/memory_task.data(3) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/program_manager/memory_task.data(2) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/program_manager/memory_task.data(1) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/program_manager/memory_task.data(0) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/erase_manager/erase_status.suspended, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/erase_status.suspended. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/erase_manager/blocklock_task.startblock has no driver. -# This port will contribute value (63) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/erase_manager/blocklock_task.isunlocked has no driver. -# This port will contribute value (false) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/erase_manager/blocklock_task.islocked has no driver. -# This port will contribute value (false) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/erase_manager/blocklock_task.bp(2) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/erase_manager/blocklock_task.bp(1) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/erase_manager/blocklock_task.bp(0) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/erase_manager/memory_task.isall1 has no driver. -# This port will contribute value (false) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/erase_manager/memory_task.data(7) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/erase_manager/memory_task.data(6) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/erase_manager/memory_task.data(5) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/erase_manager/memory_task.data(4) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/erase_manager/memory_task.data(3) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/erase_manager/memory_task.data(2) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/erase_manager/memory_task.data(1) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/gen_sim_flash_model/u_m25p128/erase_manager/memory_task.data(0) has no driver. -# This port will contribute value (0) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/stratixii_asmiblock2/data0out has no driver. -# This port will contribute value (U) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_to_mm/data_miso.waitrequest, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_dpmm_data_miso.waitrequest. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_to_mm/data_miso.rddata(71 downto 32), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_dpmm_data_miso.rddata(71 downto 32). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_from_mm/u_dp_fifo_from_mm/src_out.sync, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/user_wr_sosi.sync. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_from_mm/u_dp_fifo_from_mm/src_out.sop, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/user_wr_sosi.sop. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_from_mm/u_dp_fifo_from_mm/src_out.eop, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/user_wr_sosi.eop. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_from_mm/u_dp_fifo_from_mm/src_out.bsn(63 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/user_wr_sosi.bsn(63 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_from_mm/u_dp_fifo_from_mm/src_out.re(63 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/user_wr_sosi.re(63 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_from_mm/u_dp_fifo_from_mm/src_out.im(63 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/user_wr_sosi.im(63 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_from_mm/u_dp_fifo_from_mm/src_out.empty(15 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/user_wr_sosi.empty(15 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_from_mm/u_dp_fifo_from_mm/src_out.channel(31 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/user_wr_sosi.channel(31 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_from_mm/u_dp_fifo_from_mm/src_out.err(31 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/user_wr_sosi.err(31 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_from_mm/wr_sosi.sync, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/user_wr_sosi.sync. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_from_mm/wr_sosi.sop, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/user_wr_sosi.sop. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_from_mm/wr_sosi.eop, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/user_wr_sosi.eop. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_from_mm/wr_sosi.bsn(63 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/user_wr_sosi.bsn(63 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_from_mm/wr_sosi.re(63 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/user_wr_sosi.re(63 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_from_mm/wr_sosi.im(63 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/user_wr_sosi.im(63 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_from_mm/wr_sosi.empty(15 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/user_wr_sosi.empty(15 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_from_mm/wr_sosi.channel(31 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/user_wr_sosi.channel(31 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_mms_dp_fifo_from_mm/wr_sosi.err(31 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/user_wr_sosi.err(31 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/dpmm_data_miso.waitrequest, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_dpmm_data_miso.waitrequest. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/dpmm_data_miso.rddata(71 downto 32), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_dpmm_data_miso.rddata(71 downto 32). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/reg_dpmm_data_miso.waitrequest, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_dpmm_data_miso.waitrequest. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/reg_dpmm_data_miso.rddata(71 downto 32), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_dpmm_data_miso.rddata(71 downto 32). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.waitrequest, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.waitrequest. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(71), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(71). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(70), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(70). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(69), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(69). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(68), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(68). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(67), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(67). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(66), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(66). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(65), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(65). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(64), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(64). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(63), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(63). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(62), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(62). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(61), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(61). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(60), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(60). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(59), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(59). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(58), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(58). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(57), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(57). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(56), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(56). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(55), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(55). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(54), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(54). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(53), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(53). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(52), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(52). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(51), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(51). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(50), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(50). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(49), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(49). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(48), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(48). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(47), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(47). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(46), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(46). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(45), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(45). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(44), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(44). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(43), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(43). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(42), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(42). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(41), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(41). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(40), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(40). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(39), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(39). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(38), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(38). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(37), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(37). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(36), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(36). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(35), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(35). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(34), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(34). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(33), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(33). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/rom_unb_system_info_miso.rddata(32), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/rom_unb_system_info_miso.rddata(32). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/udp_tx_siso_arr, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/eth1g_udp_tx_siso_arr. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/udp_rx_sosi_arr, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/eth1g_udp_rx_sosi_arr. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/eth_sgout, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/eth_txp. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/pout_wdi, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/pout_wdi. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/eth1g_ram_mosi.wr, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/eth1g_ram_mosi.wr. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/eth1g_ram_mosi.rd, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/eth1g_ram_mosi.rd. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/eth1g_ram_mosi.address(31 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/eth1g_ram_mosi.address(31 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/eth1g_ram_mosi.wrdata(71 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/eth1g_ram_mosi.wrdata(71 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_dpmm_data_mosi.wr, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_dpmm_data_mosi.wr. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_dpmm_data_mosi.rd, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_dpmm_data_mosi.rd. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_dpmm_data_mosi.address(31 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_dpmm_data_mosi.address(31 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_dpmm_data_mosi.wrdata(71 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_dpmm_data_mosi.wrdata(71 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_dpmm_ctrl_mosi.wr, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_dpmm_ctrl_mosi.wr. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_dpmm_ctrl_mosi.rd, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_dpmm_ctrl_mosi.rd. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_dpmm_ctrl_mosi.address(31 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_dpmm_ctrl_mosi.address(31 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_dpmm_ctrl_mosi.wrdata(71 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_dpmm_ctrl_mosi.wrdata(71 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_mmdp_data_mosi.wr, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_mmdp_data_mosi.wr. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_mmdp_data_mosi.rd, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_mmdp_data_mosi.rd. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_mmdp_data_mosi.address(31 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_mmdp_data_mosi.address(31 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_mmdp_data_mosi.wrdata(71 downto 32), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_mmdp_data_mosi.wrdata(71 downto 32). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_mmdp_data_mosi.wrdata(31 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_mmdp_data_mosi.wrdata(31 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_mmdp_ctrl_mosi.wr, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_mmdp_ctrl_mosi.wr. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_mmdp_ctrl_mosi.rd, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_mmdp_ctrl_mosi.rd. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_mmdp_ctrl_mosi.address(31 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_mmdp_ctrl_mosi.address(31 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_mmdp_ctrl_mosi.wrdata(71 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_mmdp_ctrl_mosi.wrdata(71 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_epcs_mosi.wr, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_epcs_mosi.wr. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_epcs_mosi.rd, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_epcs_mosi.rd. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_epcs_mosi.address(31 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_epcs_mosi.address(31 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_epcs_mosi.wrdata(71 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_epcs_mosi.wrdata(71 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_remu_mosi.wr, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_remu_mosi.wr. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_remu_mosi.rd, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_remu_mosi.rd. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_remu_mosi.address(31 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_remu_mosi.address(31 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_mmm/reg_remu_mosi.wrdata(71 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/reg_remu_mosi.wrdata(71 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/dvr_miso.rdval, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_dvr_miso.rdval. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/dvr_miso.waitrequest_n, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_dvr_miso.waitrequest_n. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/dvr_miso.cal_ok, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_dvr_miso.cal_ok. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/dvr_miso.cal_fail, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_dvr_miso.cal_fail. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/dvr_miso.rddata(575 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_dvr_miso.rddata(575 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/rd_src_out.sync, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_rd_src_out.sync. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/rd_src_out.sop, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_rd_src_out.sop. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/rd_src_out.eop, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_rd_src_out.eop. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/rd_src_out.bsn(63 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_rd_src_out.bsn(63 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/rd_src_out.re(63 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_rd_src_out.re(63 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/rd_src_out.im(63 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_rd_src_out.im(63 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/rd_src_out.empty(15 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_rd_src_out.empty(15 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/rd_src_out.channel(31 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_rd_src_out.channel(31 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/rd_src_out.err(31 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_rd_src_out.err(31 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/ctlr_mosi.flush, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_mosi.flush. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(575), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(575). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(574), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(574). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(573), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(573). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(572), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(572). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(571), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(571). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(570), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(570). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(569), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(569). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(568), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(568). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(567), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(567). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(566), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(566). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(565), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(565). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(564), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(564). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(563), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(563). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(562), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(562). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(561), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(561). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(560), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(560). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(559), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(559). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(558), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(558). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(557), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(557). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(556), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(556). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(555), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(555). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(554), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(554). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(553), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(553). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(552), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(552). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(551), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(551). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(550), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(550). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(549), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(549). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(548), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(548). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(547), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(547). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(546), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(546). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(545), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(545). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(544), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(544). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(543), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(543). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(542), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(542). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(541), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(541). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(540), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(540). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(539), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(539). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(538), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(538). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(537), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(537). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(536), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(536). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(535), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(535). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(534), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(534). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(533), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(533). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(532), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(532). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(531), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(531). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(530), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(530). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(529), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(529). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(528), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(528). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(527), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(527). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(526), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(526). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(525), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(525). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(524), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(524). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(523), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(523). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(522), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(522). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(521), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(521). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(520), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(520). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(519), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(519). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(518), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(518). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(517), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(517). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(516), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(516). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(515), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(515). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(514), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(514). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(513), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(513). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(512), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(512). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(511), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(511). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(510), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(510). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(509), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(509). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(508), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(508). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(507), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(507). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(506), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(506). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(505), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(505). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(504), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(504). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(503), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(503). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(502), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(502). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(501), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(501). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(500), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(500). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(499), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(499). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(498), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(498). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(497), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(497). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(496), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(496). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(495), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(495). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(494), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(494). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(493), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(493). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(492), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(492). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(491), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(491). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(490), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(490). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(489), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(489). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(488), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(488). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(487), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(487). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(486), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(486). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(485), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(485). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(484), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(484). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(483), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(483). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(482), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(482). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(481), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(481). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(480), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(480). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(479), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(479). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(478), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(478). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(477), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(477). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(476), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(476). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(475), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(475). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(474), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(474). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(473), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(473). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(472), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(472). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(471), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(471). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(470), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(470). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(469), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(469). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(468), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(468). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(467), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(467). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(466), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(466). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(465), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(465). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(464), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(464). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(463), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(463). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(462), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(462). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(461), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(461). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(460), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(460). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(459), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(459). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(458), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(458). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(457), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(457). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(456), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(456). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(455), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(455). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(454), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(454). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(453), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(453). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(452), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(452). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(451), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(451). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(450), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(450). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(449), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(449). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(448), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(448). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(447), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(447). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(446), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(446). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(445), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(445). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(444), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(444). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(443), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(443). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(442), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(442). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(441), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(441). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(440), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(440). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(439), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(439). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(438), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(438). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(437), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(437). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(436), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(436). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(435), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(435). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(434), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(434). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(433), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(433). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(432), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(432). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(431), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(431). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(430), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(430). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(429), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(429). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(428), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(428). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(427), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(427). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(426), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(426). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(425), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(425). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(424), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(424). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(423), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(423). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(422), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(422). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(421), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(421). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(420), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(420). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(419), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(419). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(418), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(418). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(417), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(417). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(416), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(416). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(415), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(415). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(414), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(414). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(413), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(413). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(412), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(412). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(411), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(411). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(410), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(410). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(409), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(409). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(408), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(408). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(407), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(407). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(406), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(406). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(405), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(405). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(404), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(404). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(403), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(403). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(402), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(402). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(401), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(401). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(400), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(400). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(399), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(399). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(398), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(398). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(397), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(397). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(396), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(396). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(395), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(395). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(394), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(394). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(393), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(393). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(392), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(392). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(391), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(391). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(390), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(390). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(389), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(389). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(388), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(388). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(387), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(387). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(386), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(386). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(385), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(385). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(384), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(384). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(383), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(383). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(382), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(382). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(381), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(381). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(380), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(380). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(379), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(379). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(378), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(378). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(377), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(377). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(376), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(376). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(375), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(375). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(374), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(374). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(373), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(373). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(372), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(372). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(371), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(371). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(370), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(370). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(369), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(369). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(368), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(368). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(367), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(367). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(366), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(366). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(365), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(365). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(364), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(364). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(363), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(363). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(362), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(362). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(361), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(361). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(360), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(360). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(359), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(359). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(358), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(358). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(357), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(357). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(356), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(356). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(355), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(355). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(354), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(354). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(353), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(353). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(352), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(352). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(351), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(351). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(350), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(350). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(349), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(349). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(348), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(348). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(347), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(347). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(346), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(346). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(345), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(345). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(344), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(344). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(343), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(343). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(342), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(342). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(341), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(341). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(340), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(340). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(339), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(339). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(338), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(338). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(337), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(337). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(336), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(336). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(335), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(335). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(334), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(334). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(333), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(333). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(332), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(332). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(331), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(331). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(330), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(330). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(329), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(329). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(328), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(328). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(327), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(327). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(326), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(326). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(325), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(325). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(324), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(324). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(323), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(323). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(322), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(322). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(321), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(321). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(320), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(320). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(319), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(319). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(318), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(318). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(317), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(317). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(316), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(316). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(315), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(315). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(314), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(314). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(313), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(313). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(312), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(312). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(311), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(311). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(310), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(310). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(309), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(309). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(308), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(308). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(307), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(307). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(306), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(306). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(305), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(305). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(304), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(304). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(303), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(303). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(302), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(302). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(301), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(301). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(300), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(300). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(299), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(299). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(298), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(298). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(297), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(297). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(296), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(296). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(295), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(295). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(294), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(294). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(293), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(293). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(292), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(292). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(291), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(291). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(290), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(290). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(289), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(289). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(288), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(288). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(287), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(287). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(286), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(286). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(285), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(285). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(284), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(284). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(283), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(283). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(282), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(282). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(281), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(281). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(280), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(280). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(279), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(279). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(278), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(278). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(277), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(277). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(276), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(276). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(275), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(275). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(274), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(274). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(273), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(273). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(272), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(272). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(271), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(271). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(270), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(270). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(269), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(269). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(268), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(268). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(267), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(267). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(266), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(266). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(265), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(265). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(264), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(264). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(263), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(263). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(262), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(262). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(261), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(261). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(260), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(260). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(259), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(259). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(258), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(258). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(257), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(257). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(256), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(256). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/phy_io.scl, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_io.scl. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/phy_io.sda, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_io.sda. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/phy_ou.cke(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.cke(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/phy_ou.cs_n(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.cs_n(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/phy_ou.odt(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.odt(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(575), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(575). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(574), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(574). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(573), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(573). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(572), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(572). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(571), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(571). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(570), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(570). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(569), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(569). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(568), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(568). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(567), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(567). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(566), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(566). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(565), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(565). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(564), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(564). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(563), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(563). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(562), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(562). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(561), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(561). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(560), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(560). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(559), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(559). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(558), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(558). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(557), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(557). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(556), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(556). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(555), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(555). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(554), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(554). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(553), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(553). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(552), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(552). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(551), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(551). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(550), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(550). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(549), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(549). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(548), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(548). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(547), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(547). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(546), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(546). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(545), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(545). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(544), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(544). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(543), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(543). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(542), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(542). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(541), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(541). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(540), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(540). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(539), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(539). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(538), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(538). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(537), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(537). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(536), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(536). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(535), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(535). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(534), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(534). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(533), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(533). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(532), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(532). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(531), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(531). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(530), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(530). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(529), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(529). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(528), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(528). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(527), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(527). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(526), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(526). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(525), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(525). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(524), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(524). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(523), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(523). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(522), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(522). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(521), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(521). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(520), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(520). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(519), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(519). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(518), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(518). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(517), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(517). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(516), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(516). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(515), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(515). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(514), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(514). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(513), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(513). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(512), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(512). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(511), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(511). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(510), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(510). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(509), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(509). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(508), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(508). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(507), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(507). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(506), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(506). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(505), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(505). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(504), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(504). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(503), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(503). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(502), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(502). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(501), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(501). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(500), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(500). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(499), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(499). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(498), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(498). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(497), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(497). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(496), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(496). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(495), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(495). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(494), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(494). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(493), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(493). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(492), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(492). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(491), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(491). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(490), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(490). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(489), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(489). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(488), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(488). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(487), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(487). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(486), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(486). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(485), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(485). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(484), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(484). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(483), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(483). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(482), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(482). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(481), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(481). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(480), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(480). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(479), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(479). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(478), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(478). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(477), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(477). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(476), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(476). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(475), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(475). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(474), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(474). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(473), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(473). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(472), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(472). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(471), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(471). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(470), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(470). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(469), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(469). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(468), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(468). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(467), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(467). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(466), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(466). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(465), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(465). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(464), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(464). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(463), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(463). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(462), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(462). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(461), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(461). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(460), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(460). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(459), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(459). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(458), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(458). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(457), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(457). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(456), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(456). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(455), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(455). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(454), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(454). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(453), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(453). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(452), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(452). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(451), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(451). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(450), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(450). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(449), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(449). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(448), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(448). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(447), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(447). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(446), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(446). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(445), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(445). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(444), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(444). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(443), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(443). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(442), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(442). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(441), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(441). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(440), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(440). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(439), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(439). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(438), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(438). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(437), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(437). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(436), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(436). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(435), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(435). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(434), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(434). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(433), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(433). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(432), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(432). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(431), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(431). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(430), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(430). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(429), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(429). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(428), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(428). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(427), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(427). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(426), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(426). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(425), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(425). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(424), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(424). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(423), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(423). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(422), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(422). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(421), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(421). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(420), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(420). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(419), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(419). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(418), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(418). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(417), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(417). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(416), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(416). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(415), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(415). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(414), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(414). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(413), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(413). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(412), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(412). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(411), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(411). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(410), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(410). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(409), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(409). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(408), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(408). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(407), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(407). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(406), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(406). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(405), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(405). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(404), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(404). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(403), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(403). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(402), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(402). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(401), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(401). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(400), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(400). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(399), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(399). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(398), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(398). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(397), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(397). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(396), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(396). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(395), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(395). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(394), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(394). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(393), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(393). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(392), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(392). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(391), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(391). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(390), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(390). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(389), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(389). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(388), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(388). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(387), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(387). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(386), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(386). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(385), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(385). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(384), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(384). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(383), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(383). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(382), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(382). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(381), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(381). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(380), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(380). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(379), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(379). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(378), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(378). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(377), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(377). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(376), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(376). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(375), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(375). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(374), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(374). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(373), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(373). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(372), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(372). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(371), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(371). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(370), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(370). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(369), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(369). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(368), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(368). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(367), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(367). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(366), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(366). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(365), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(365). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(364), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(364). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(363), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(363). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(362), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(362). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(361), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(361). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(360), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(360). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(359), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(359). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(358), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(358). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(357), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(357). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(356), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(356). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(355), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(355). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(354), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(354). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(353), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(353). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(352), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(352). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(351), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(351). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(350), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(350). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(349), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(349). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(348), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(348). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(347), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(347). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(346), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(346). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(345), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(345). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(344), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(344). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(343), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(343). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(342), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(342). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(341), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(341). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(340), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(340). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(339), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(339). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(338), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(338). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(337), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(337). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(336), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(336). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(335), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(335). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(334), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(334). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(333), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(333). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(332), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(332). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(331), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(331). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(330), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(330). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(329), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(329). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(328), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(328). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(327), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(327). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(326), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(326). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(325), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(325). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(324), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(324). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(323), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(323). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(322), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(322). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(321), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(321). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(320), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(320). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(319), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(319). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(318), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(318). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(317), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(317). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(316), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(316). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(315), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(315). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(314), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(314). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(313), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(313). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(312), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(312). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(311), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(311). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(310), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(310). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(309), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(309). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(308), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(308). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(307), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(307). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(306), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(306). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(305), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(305). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(304), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(304). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(303), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(303). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(302), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(302). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(301), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(301). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(300), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(300). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(299), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(299). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(298), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(298). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(297), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(297). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(296), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(296). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(295), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(295). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(294), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(294). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(293), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(293). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(292), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(292). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(291), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(291). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(290), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(290). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(289), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(289). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(288), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(288). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(287), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(287). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(286), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(286). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(285), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(285). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(284), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(284). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(283), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(283). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(282), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(282). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(281), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(281). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(280), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(280). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(279), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(279). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(278), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(278). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(277), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(277). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(276), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(276). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(275), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(275). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(274), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(274). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(273), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(273). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(272), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(272). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(271), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(271). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(270), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(270). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(269), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(269). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(268), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(268). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(267), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(267). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(266), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(266). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(265), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(265). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(264), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(264). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(263), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(263). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(262), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(262). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(261), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(261). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(260), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(260). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(259), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(259). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(258), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(258). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(257), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(257). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(256), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(256). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy3_io.scl, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_io.scl. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy3_io.sda, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_io.sda. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy3_ou.cke(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.cke(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy3_ou.cs_n(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.cs_n(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy3_ou.odt(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.odt(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_io.dq(71 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_io.dq(71 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_io.dqs(8 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_io.dqs(8 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_io.dqs_n(8 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_io.dqs_n(8 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_io.dbi_n(8 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_io.dbi_n(8 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.act_n, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.act_n. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.par, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.par. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.reset_n, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.reset_n. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.a(16 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.a(16 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.ba(1 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.ba(1 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.bg(1 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.bg(1 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.ck(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.ck(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.ck_n(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.ck_n(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.cke(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.cke(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.cs_n(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.cs_n(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.odt(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.odt(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rdval, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rdval. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.waitrequest_n, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.waitrequest_n. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.cal_ok, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.cal_ok. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.cal_fail, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.cal_fail. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(575), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(575). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(574), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(574). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(573), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(573). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(572), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(572). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(571), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(571). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(570), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(570). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(569), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(569). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(568), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(568). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(567), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(567). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(566), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(566). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(565), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(565). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(564), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(564). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(563), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(563). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(562), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(562). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(561), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(561). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(560), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(560). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(559), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(559). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(558), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(558). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(557), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(557). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(556), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(556). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(555), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(555). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(554), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(554). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(553), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(553). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(552), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(552). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(551), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(551). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(550), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(550). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(549), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(549). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(548), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(548). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(547), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(547). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(546), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(546). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(545), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(545). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(544), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(544). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(543), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(543). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(542), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(542). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(541), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(541). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(540), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(540). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(539), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(539). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(538), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(538). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(537), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(537). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(536), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(536). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(535), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(535). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(534), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(534). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(533), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(533). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(532), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(532). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(531), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(531). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(530), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(530). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(529), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(529). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(528), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(528). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(527), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(527). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(526), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(526). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(525), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(525). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(524), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(524). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(523), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(523). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(522), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(522). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(521), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(521). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(520), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(520). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(519), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(519). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(518), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(518). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(517), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(517). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(516), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(516). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(515), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(515). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(514), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(514). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(513), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(513). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(512), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(512). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(511), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(511). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(510), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(510). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(509), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(509). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(508), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(508). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(507), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(507). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(506), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(506). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(505), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(505). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(504), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(504). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(503), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(503). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(502), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(502). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(501), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(501). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(500), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(500). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(499), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(499). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(498), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(498). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(497), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(497). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(496), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(496). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(495), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(495). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(494), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(494). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(493), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(493). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(492), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(492). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(491), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(491). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(490), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(490). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(489), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(489). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(488), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(488). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(487), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(487). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(486), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(486). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(485), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(485). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(484), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(484). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(483), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(483). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(482), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(482). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(481), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(481). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(480), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(480). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(479), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(479). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(478), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(478). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(477), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(477). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(476), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(476). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(475), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(475). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(474), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(474). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(473), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(473). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(472), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(472). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(471), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(471). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(470), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(470). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(469), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(469). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(468), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(468). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(467), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(467). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(466), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(466). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(465), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(465). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(464), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(464). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(463), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(463). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(462), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(462). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(461), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(461). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(460), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(460). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(459), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(459). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(458), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(458). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(457), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(457). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(456), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(456). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(455), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(455). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(454), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(454). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(453), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(453). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(452), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(452). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(451), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(451). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(450), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(450). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(449), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(449). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(448), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(448). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(447), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(447). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(446), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(446). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(445), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(445). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(444), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(444). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(443), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(443). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(442), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(442). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(441), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(441). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(440), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(440). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(439), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(439). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(438), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(438). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(437), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(437). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(436), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(436). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(435), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(435). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(434), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(434). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(433), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(433). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(432), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(432). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(431), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(431). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(430), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(430). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(429), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(429). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(428), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(428). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(427), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(427). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(426), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(426). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(425), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(425). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(424), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(424). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(423), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(423). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(422), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(422). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(421), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(421). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(420), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(420). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(419), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(419). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(418), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(418). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(417), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(417). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(416), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(416). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(415), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(415). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(414), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(414). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(413), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(413). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(412), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(412). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(411), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(411). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(410), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(410). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(409), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(409). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(408), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(408). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(407), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(407). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(406), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(406). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(405), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(405). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(404), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(404). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(403), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(403). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(402), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(402). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(401), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(401). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(400), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(400). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(399), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(399). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(398), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(398). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(397), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(397). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(396), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(396). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(395), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(395). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(394), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(394). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(393), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(393). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(392), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(392). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(391), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(391). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(390), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(390). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(389), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(389). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(388), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(388). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(387), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(387). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(386), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(386). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(385), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(385). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(384), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(384). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(383), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(383). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(382), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(382). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(381), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(381). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(380), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(380). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(379), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(379). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(378), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(378). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(377), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(377). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(376), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(376). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(375), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(375). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(374), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(374). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(373), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(373). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(372), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(372). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(371), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(371). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(370), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(370). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(369), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(369). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(368), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(368). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(367), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(367). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(366), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(366). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(365), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(365). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(364), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(364). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(363), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(363). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(362), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(362). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(361), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(361). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(360), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(360). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(359), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(359). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(358), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(358). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(357), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(357). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(356), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(356). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(355), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(355). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(354), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(354). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(353), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(353). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(352), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(352). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(351), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(351). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(350), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(350). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(349), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(349). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(348), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(348). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(347), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(347). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(346), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(346). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(345), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(345). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(344), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(344). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(343), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(343). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(342), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(342). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(341), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(341). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(340), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(340). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(339), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(339). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(338), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(338). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(337), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(337). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(336), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(336). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(335), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(335). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(334), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(334). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(333), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(333). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(332), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(332). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(331), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(331). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(330), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(330). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(329), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(329). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(328), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(328). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(327), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(327). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(326), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(326). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(325), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(325). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(324), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(324). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(323), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(323). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(322), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(322). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(321), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(321). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(320), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(320). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(319), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(319). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(318), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(318). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(317), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(317). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(316), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(316). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(315), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(315). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(314), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(314). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(313), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(313). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(312), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(312). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(311), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(311). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(310), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(310). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(309), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(309). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(308), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(308). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(307), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(307). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(306), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(306). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(305), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(305). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(304), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(304). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(303), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(303). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(302), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(302). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(301), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(301). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(300), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(300). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(299), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(299). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(298), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(298). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(297), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(297). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(296), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(296). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(295), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(295). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(294), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(294). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(293), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(293). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(292), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(292). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(291), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(291). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(290), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(290). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(289), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(289). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(288), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(288). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(287), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(287). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(286), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(286). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(285), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(285). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(284), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(284). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(283), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(283). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(282), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(282). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(281), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(281). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(280), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(280). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(279), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(279). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(278), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(278). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(277), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(277). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(276), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(276). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(275), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(275). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(274), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(274). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(273), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(273). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(272), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(272). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(271), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(271). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(270), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(270). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(269), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(269). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(268), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(268). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(267), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(267). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(266), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(266). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(265), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(265). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(264), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(264). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(263), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(263). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(262), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(262). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(261), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(261). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(260), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(260). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(259), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(259). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(258), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(258). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(257), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(257). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(256), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(256). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(255), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(255). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(254), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(254). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(253), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(253). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(252), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(252). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(251), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(251). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(250), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(250). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(249), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(249). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(248), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(248). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(247), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(247). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(246), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(246). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(245), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(245). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(244), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(244). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(243), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(243). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(242), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(242). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(241), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(241). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(240), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(240). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(239), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(239). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(238), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(238). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(237), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(237). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(236), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(236). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(235), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(235). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(234), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(234). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(233), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(233). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(232), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(232). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(231), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(231). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(230), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(230). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(229), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(229). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(228), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(228). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(227), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(227). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(226), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(226). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(225), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(225). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(224), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(224). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(223), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(223). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(222), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(222). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(221), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(221). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(220), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(220). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(219), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(219). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(218), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(218). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(217), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(217). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(216), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(216). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(215), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(215). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(214), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(214). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(213), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(213). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(212), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(212). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(211), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(211). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(210), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(210). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(209), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(209). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(208), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(208). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(207), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(207). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(206), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(206). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(205), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(205). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(204), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(204). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(203), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(203). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(202), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(202). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(201), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(201). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(200), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(200). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(199), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(199). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(198), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(198). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(197), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(197). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(196), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(196). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(195), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(195). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(194), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(194). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(193), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(193). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(192), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(192). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(191), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(191). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(190), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(190). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(189), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(189). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(188), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(188). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(187), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(187). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(186), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(186). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(185), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(185). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(184), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(184). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(183), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(183). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(182), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(182). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(181), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(181). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(180), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(180). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(179), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(179). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(178), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(178). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(177), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(177). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(176), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(176). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(175), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(175). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(174), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(174). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(173), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(173). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(172), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(172). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(171), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(171). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(170), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(170). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(169), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(169). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(168), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(168). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(167), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(167). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(166), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(166). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(165), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(165). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(164), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(164). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(163), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(163). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(162), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(162). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(161), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(161). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(160), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(160). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(159), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(159). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(158), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(158). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(157), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(157). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(156), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(156). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(155), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(155). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(154), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(154). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(153), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(153). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(152), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(152). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(151), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(151). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(150), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(150). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(149), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(149). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(148), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(148). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(147), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(147). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(146), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(146). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(145), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(145). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(144), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(144). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(143), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(143). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(142), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(142). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(141), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(141). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(140), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(140). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(139), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(139). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(138), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(138). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(137), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(137). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(136), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(136). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(135), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(135). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(134), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(134). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(133), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(133). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(132), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(132). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(131), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(131). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(130), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(130). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(129), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(129). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(128), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(128). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(127), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(127). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(126), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(126). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(125), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(125). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(124), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(124). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(123), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(123). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(122), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(122). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(121), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(121). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(120), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(120). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(119), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(119). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(118), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(118). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(117), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(117). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(116), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(116). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(115), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(115). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(114), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(114). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(113), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(113). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(112), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(112). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(111), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(111). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(110), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(110). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(109), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(109). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(108), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(108). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(107), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(107). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(106), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(106). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(105), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(105). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(104), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(104). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(103), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(103). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(102), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(102). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(101), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(101). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(100), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(100). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(99), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(99). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(98), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(98). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(97), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(97). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(96), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(96). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(95), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(95). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(94), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(94). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(93), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(93). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(92), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(92). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(91), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(91). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(90), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(90). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(89), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(89). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(88), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(88). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(87), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(87). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(86), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(86). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(85), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(85). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(84), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(84). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(83), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(83). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(82), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(82). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(81), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(81). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(80), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(80). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(79), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(79). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(78), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(78). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(77), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(77). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(76), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(76). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(75), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(75). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(74), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(74). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(73), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(73). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(72), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(72). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(71), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(71). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(70), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(70). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(69), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(69). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(68), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(68). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(67), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(67). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(66), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(66). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(65), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(65). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(64), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(64). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(63), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(63). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(62), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(62). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(61), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(61). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(60), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(60). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(59), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(59). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(58), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(58). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(57), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(57). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(56), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(56). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(55), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(55). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(54), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(54). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(53), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(53). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(52), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(52). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(51), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(51). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(50), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(50). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(49), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(49). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(48), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(48). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(47), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(47). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(46), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(46). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(45), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(45). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(44), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(44). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(43), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(43). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(42), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(42). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(41), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(41). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(40), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(40). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(39), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(39). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(38), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(38). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(37), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(37). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(36), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(36). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(35), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(35). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(34), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(34). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(33), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(33). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(32), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(32). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(31), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(31). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(30), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(30). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(29), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(29). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(28), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(28). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(27), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(27). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(26), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(26). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(25), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(25). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(24), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(24). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(23), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(23). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(22), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(22). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(21), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(21). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(20), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(20). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(19), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(19). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(18), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(18). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(17), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(17). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(16), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(16). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(15), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(15). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(14), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(14). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(13), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(13). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(12), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(12). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(11), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(11). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(10), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(10). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(9), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(9). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(8), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(8). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(7), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(7). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(6), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(6). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(5), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(5). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(4), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(4). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(3), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(3). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(2), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(2). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy3_io.scl, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_io.scl. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy3_io.sda, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_io.sda. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy3_ou.cke(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.cke(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy3_ou.cs_n(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.cs_n(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy3_ou.odt(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.odt(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_io.dq(71 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_io.dq(71 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_io.dqs(8 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_io.dqs(8 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_io.dqs_n(8 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_io.dqs_n(8 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_io.dbi_n(8 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_io.dbi_n(8 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.act_n, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.act_n. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.par, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.par. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.reset_n, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.reset_n. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.a(16 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.a(16 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.ba(1 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.ba(1 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.bg(1 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.bg(1 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.ck(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.ck(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.ck_n(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.ck_n(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.cke(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.cke(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.cs_n(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.cs_n(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.odt(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.odt(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/wr_fifo_usedw(9 downto 0) has no driver. -# This port will contribute value (X) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/rd_fifo_usedw(9 downto 0) has no driver. -# This port will contribute value (X) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/term_ctrl_out.seriesterminationcontrol(13 downto 0) has no driver. -# This port will contribute value (X) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/term_ctrl_out.parallelterminationcontrol(13 downto 0) has no driver. -# This port will contribute value (X) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy3_io.scl, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_io.scl. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy3_io.sda, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_io.sda. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy3_ou.cke(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.cke(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy3_ou.cs_n(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.cs_n(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy3_ou.odt(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.odt(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_io.dq(71 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_io.dq(71 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_io.dqs(8 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_io.dqs(8 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_io.dqs_n(8 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_io.dqs_n(8 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_io.dbi_n(8 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_io.dbi_n(8 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.act_n, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.act_n. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.par, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.par. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.reset_n, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.reset_n. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.a(16 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.a(16 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.ba(1 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.ba(1 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.bg(1 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.bg(1 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.ck(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.ck(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.ck_n(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.ck_n(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.cke(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.cke(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.cs_n(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.cs_n(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.odt(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy4_ou.odt(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy3_io.scl, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_io.scl. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy3_io.sda, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_io.sda. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy3_ou.cke(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.cke(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy3_ou.cs_n(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.cs_n(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/phy3_ou.odt(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.odt(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/phy_3_io.scl, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_io.scl. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/phy_3_io.sda, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_io.sda. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/phy_3_ou.cke(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.cke(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/phy_3_ou.cs_n(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.cs_n(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/phy_3_ou.odt(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.odt(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/dvr_miso.rdval, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_dvr_miso.rdval. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/dvr_miso.waitrequest_n, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_dvr_miso.waitrequest_n. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/dvr_miso.cal_ok, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_dvr_miso.cal_ok. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/dvr_miso.cal_fail, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_dvr_miso.cal_fail. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/dvr_miso.rddata(575 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_dvr_miso.rddata(575 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/rd_src_out.sync, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_rd_src_out.sync. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/rd_src_out.sop, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_rd_src_out.sop. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/rd_src_out.eop, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_rd_src_out.eop. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/rd_src_out.bsn(63 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_rd_src_out.bsn(63 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/rd_src_out.re(63 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_rd_src_out.re(63 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/rd_src_out.im(63 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_rd_src_out.im(63 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/rd_src_out.empty(15 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_rd_src_out.empty(15 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/rd_src_out.channel(31 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_rd_src_out.channel(31 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/rd_src_out.err(31 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_rd_src_out.err(31 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver/ctlr_mosi.flush, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_mosi.flush. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(575), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(575). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(574), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(574). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(573), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(573). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(572), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(572). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(571), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(571). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(570), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(570). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(569), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(569). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(568), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(568). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(567), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(567). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(566), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(566). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(565), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(565). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(564), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(564). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(563), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(563). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(562), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(562). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(561), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(561). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(560), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(560). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(559), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(559). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(558), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(558). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(557), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(557). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(556), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(556). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(555), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(555). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(554), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(554). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(553), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(553). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(552), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(552). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(551), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(551). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(550), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(550). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(549), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(549). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(548), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(548). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(547), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(547). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(546), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(546). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(545), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(545). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(544), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(544). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(543), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(543). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(542), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(542). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(541), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(541). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(540), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(540). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(539), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(539). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(538), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(538). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(537), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(537). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(536), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(536). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(535), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(535). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(534), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(534). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(533), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(533). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(532), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(532). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(531), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(531). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(530), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(530). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(529), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(529). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(528), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(528). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(527), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(527). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(526), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(526). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(525), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(525). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(524), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(524). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(523), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(523). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(522), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(522). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(521), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(521). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(520), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(520). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(519), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(519). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(518), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(518). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(517), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(517). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(516), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(516). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(515), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(515). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(514), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(514). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(513), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(513). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(512), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(512). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(511), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(511). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(510), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(510). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(509), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(509). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(508), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(508). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(507), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(507). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(506), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(506). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(505), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(505). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(504), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(504). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(503), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(503). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(502), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(502). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(501), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(501). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(500), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(500). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(499), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(499). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(498), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(498). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(497), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(497). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(496), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(496). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(495), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(495). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(494), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(494). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(493), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(493). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(492), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(492). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(491), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(491). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(490), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(490). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(489), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(489). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(488), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(488). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(487), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(487). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(486), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(486). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(485), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(485). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(484), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(484). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(483), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(483). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(482), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(482). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(481), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(481). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(480), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(480). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(479), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(479). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(478), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(478). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(477), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(477). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(476), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(476). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(475), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(475). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(474), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(474). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(473), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(473). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(472), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(472). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(471), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(471). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(470), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(470). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(469), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(469). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(468), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(468). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(467), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(467). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(466), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(466). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(465), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(465). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(464), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(464). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(463), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(463). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(462), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(462). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(461), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(461). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(460), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(460). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(459), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(459). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(458), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(458). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(457), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(457). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(456), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(456). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(455), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(455). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(454), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(454). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(453), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(453). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(452), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(452). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(451), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(451). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(450), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(450). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(449), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(449). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(448), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(448). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(447), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(447). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(446), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(446). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(445), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(445). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(444), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(444). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(443), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(443). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(442), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(442). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(441), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(441). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(440), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(440). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(439), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(439). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(438), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(438). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(437), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(437). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(436), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(436). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(435), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(435). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(434), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(434). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(433), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(433). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(432), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(432). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(431), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(431). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(430), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(430). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(429), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(429). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(428), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(428). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(427), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(427). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(426), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(426). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(425), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(425). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(424), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(424). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(423), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(423). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(422), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(422). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(421), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(421). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(420), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(420). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(419), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(419). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(418), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(418). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(417), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(417). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(416), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(416). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(415), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(415). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(414), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(414). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(413), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(413). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(412), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(412). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(411), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(411). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(410), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(410). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(409), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(409). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(408), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(408). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(407), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(407). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(406), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(406). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(405), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(405). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(404), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(404). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(403), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(403). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(402), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(402). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(401), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(401). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(400), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(400). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(399), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(399). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(398), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(398). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(397), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(397). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(396), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(396). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(395), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(395). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(394), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(394). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(393), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(393). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(392), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(392). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(391), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(391). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(390), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(390). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(389), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(389). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(388), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(388). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(387), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(387). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(386), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(386). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(385), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(385). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(384), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(384). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(383), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(383). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(382), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(382). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(381), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(381). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(380), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(380). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(379), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(379). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(378), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(378). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(377), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(377). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(376), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(376). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(375), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(375). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(374), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(374). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(373), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(373). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(372), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(372). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(371), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(371). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(370), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(370). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(369), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(369). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(368), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(368). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(367), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(367). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(366), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(366). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(365), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(365). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(364), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(364). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(363), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(363). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(362), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(362). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(361), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(361). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(360), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(360). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(359), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(359). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(358), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(358). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(357), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(357). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(356), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(356). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(355), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(355). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(354), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(354). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(353), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(353). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(352), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(352). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(351), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(351). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(350), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(350). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(349), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(349). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(348), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(348). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(347), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(347). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(346), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(346). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(345), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(345). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(344), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(344). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(343), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(343). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(342), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(342). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(341), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(341). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(340), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(340). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(339), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(339). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(338), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(338). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(337), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(337). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(336), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(336). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(335), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(335). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(334), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(334). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(333), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(333). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(332), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(332). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(331), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(331). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(330), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(330). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(329), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(329). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(328), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(328). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(327), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(327). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(326), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(326). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(325), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(325). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(324), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(324). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(323), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(323). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(322), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(322). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(321), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(321). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(320), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(320). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(319), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(319). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(318), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(318). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(317), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(317). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(316), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(316). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(315), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(315). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(314), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(314). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(313), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(313). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(312), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(312). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(311), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(311). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(310), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(310). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(309), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(309). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(308), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(308). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(307), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(307). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(306), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(306). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(305), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(305). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(304), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(304). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(303), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(303). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(302), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(302). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(301), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(301). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(300), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(300). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(299), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(299). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(298), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(298). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(297), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(297). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(296), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(296). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(295), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(295). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(294), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(294). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(293), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(293). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(292), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(292). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(291), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(291). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(290), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(290). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(289), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(289). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(288), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(288). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(287), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(287). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(286), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(286). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(285), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(285). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(284), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(284). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(283), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(283). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(282), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(282). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(281), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(281). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(280), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(280). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(279), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(279). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(278), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(278). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(277), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(277). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(276), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(276). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(275), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(275). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(274), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(274). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(273), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(273). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(272), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(272). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(271), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(271). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(270), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(270). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(269), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(269). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(268), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(268). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(267), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(267). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(266), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(266). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(265), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(265). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(264), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(264). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(263), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(263). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(262), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(262). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(261), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(261). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(260), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(260). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(259), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(259). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(258), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(258). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(257), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(257). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/ctlr_miso.rddata(256), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(256). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/phy_io.scl, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_io.scl. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/phy_io.sda, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_io.sda. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/phy_ou.cke(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.cke(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/phy_ou.cs_n(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.cs_n(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/gen_ip/gen_ip_stratixiv/u0/phy_ou.odt(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.odt(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(575), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(575). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(574), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(574). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(573), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(573). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(572), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(572). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(571), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(571). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(570), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(570). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(569), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(569). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(568), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(568). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(567), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(567). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(566), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(566). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(565), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(565). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(564), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(564). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(563), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(563). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(562), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(562). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(561), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(561). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(560), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(560). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(559), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(559). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(558), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(558). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(557), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(557). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(556), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(556). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(555), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(555). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(554), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(554). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(553), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(553). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(552), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(552). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(551), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(551). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(550), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(550). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(549), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(549). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(548), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(548). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(547), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(547). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(546), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(546). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(545), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(545). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(544), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(544). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(543), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(543). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(542), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(542). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(541), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(541). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(540), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(540). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(539), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(539). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(538), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(538). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(537), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(537). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(536), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(536). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(535), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(535). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(534), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(534). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(533), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(533). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(532), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(532). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(531), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(531). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(530), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(530). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(529), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(529). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(528), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(528). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(527), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(527). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(526), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(526). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(525), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(525). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(524), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(524). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(523), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(523). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(522), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(522). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(521), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(521). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(520), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(520). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(519), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(519). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(518), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(518). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(517), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(517). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(516), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(516). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(515), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(515). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(514), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(514). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(513), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(513). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(512), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(512). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(511), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(511). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(510), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(510). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(509), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(509). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(508), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(508). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(507), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(507). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(506), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(506). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(505), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(505). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(504), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(504). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(503), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(503). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(502), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(502). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(501), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(501). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(500), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(500). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(499), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(499). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(498), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(498). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(497), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(497). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(496), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(496). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(495), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(495). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(494), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(494). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(493), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(493). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(492), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(492). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(491), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(491). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(490), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(490). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(489), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(489). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(488), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(488). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(487), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(487). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(486), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(486). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(485), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(485). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(484), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(484). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(483), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(483). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(482), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(482). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(481), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(481). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(480), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(480). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(479), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(479). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(478), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(478). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(477), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(477). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(476), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(476). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(475), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(475). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(474), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(474). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(473), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(473). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(472), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(472). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(471), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(471). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(470), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(470). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(469), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(469). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(468), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(468). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(467), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(467). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(466), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(466). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(465), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(465). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(464), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(464). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(463), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(463). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(462), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(462). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(461), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(461). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(460), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(460). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(459), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(459). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(458), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(458). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(457), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(457). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(456), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(456). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(455), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(455). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(454), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(454). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(453), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(453). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(452), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(452). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(451), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(451). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(450), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(450). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(449), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(449). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(448), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(448). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(447), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(447). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(446), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(446). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(445), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(445). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(444), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(444). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(443), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(443). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(442), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(442). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(441), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(441). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(440), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(440). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(439), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(439). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(438), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(438). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(437), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(437). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(436), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(436). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(435), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(435). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(434), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(434). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(433), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(433). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(432), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(432). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(431), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(431). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(430), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(430). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(429), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(429). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(428), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(428). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(427), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(427). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(426), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(426). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(425), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(425). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(424), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(424). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(423), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(423). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(422), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(422). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(421), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(421). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(420), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(420). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(419), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(419). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(418), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(418). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(417), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(417). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(416), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(416). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(415), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(415). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(414), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(414). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(413), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(413). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(412), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(412). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(411), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(411). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(410), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(410). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(409), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(409). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(408), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(408). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(407), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(407). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(406), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(406). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(405), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(405). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(404), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(404). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(403), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(403). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(402), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(402). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(401), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(401). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(400), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(400). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(399), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(399). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(398), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(398). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(397), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(397). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(396), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(396). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(395), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(395). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(394), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(394). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(393), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(393). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(392), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(392). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(391), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(391). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(390), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(390). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(389), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(389). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(388), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(388). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(387), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(387). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(386), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(386). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(385), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(385). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(384), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(384). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(383), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(383). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(382), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(382). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(381), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(381). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(380), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(380). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(379), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(379). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(378), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(378). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(377), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(377). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(376), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(376). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(375), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(375). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(374), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(374). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(373), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(373). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(372), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(372). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(371), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(371). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(370), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(370). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(369), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(369). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(368), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(368). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(367), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(367). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(366), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(366). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(365), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(365). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(364), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(364). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(363), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(363). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(362), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(362). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(361), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(361). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(360), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(360). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(359), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(359). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(358), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(358). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(357), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(357). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(356), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(356). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(355), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(355). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(354), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(354). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(353), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(353). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(352), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(352). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(351), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(351). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(350), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(350). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(349), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(349). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(348), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(348). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(347), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(347). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(346), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(346). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(345), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(345). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(344), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(344). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(343), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(343). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(342), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(342). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(341), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(341). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(340), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(340). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(339), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(339). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(338), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(338). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(337), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(337). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(336), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(336). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(335), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(335). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(334), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(334). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(333), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(333). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(332), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(332). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(331), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(331). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(330), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(330). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(329), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(329). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(328), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(328). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(327), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(327). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(326), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(326). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(325), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(325). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(324), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(324). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(323), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(323). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(322), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(322). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(321), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(321). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(320), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(320). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(319), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(319). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(318), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(318). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(317), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(317). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(316), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(316). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(315), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(315). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(314), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(314). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(313), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(313). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(312), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(312). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(311), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(311). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(310), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(310). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(309), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(309). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(308), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(308). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(307), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(307). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(306), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(306). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(305), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(305). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(304), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(304). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(303), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(303). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(302), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(302). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(301), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(301). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(300), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(300). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(299), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(299). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(298), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(298). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(297), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(297). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(296), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(296). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(295), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(295). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(294), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(294). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(293), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(293). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(292), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(292). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(291), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(291). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(290), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(290). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(289), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(289). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(288), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(288). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(287), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(287). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(286), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(286). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(285), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(285). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(284), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(284). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(283), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(283). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(282), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(282). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(281), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(281). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(280), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(280). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(279), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(279). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(278), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(278). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(277), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(277). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(276), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(276). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(275), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(275). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(274), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(274). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(273), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(273). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(272), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(272). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(271), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(271). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(270), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(270). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(269), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(269). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(268), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(268). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(267), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(267). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(266), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(266). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(265), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(265). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(264), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(264). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(263), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(263). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(262), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(262). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(261), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(261). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(260), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(260). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(259), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(259). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(258), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(258). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(257), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(257). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/ctlr_miso.rddata(256), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/ctlr_tech_miso.rddata(256). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy3_io.scl, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_io.scl. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy3_io.sda, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_io.sda. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy3_ou.cke(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.cke(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy3_ou.cs_n(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.cs_n(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy3_ou.odt(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.odt(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_io.dq(71 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_io.dq(71 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_io.dqs(8 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_io.dqs(8 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_io.dqs_n(8 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_io.dqs_n(8 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_io.dbi_n(8 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_io.dbi_n(8 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.act_n, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.act_n. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.par, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.par. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.reset_n, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.reset_n. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.a(16 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.a(16 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.ba(1 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.ba(1 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.bg(1 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.bg(1 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.ck(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.ck(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.ck_n(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.ck_n(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.cke(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.cke(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.cs_n(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.cs_n(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_tech_ddr/phy4_ou.odt(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.odt(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rdval, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rdval. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.waitrequest_n, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.waitrequest_n. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.cal_ok, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.cal_ok. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.cal_fail, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.cal_fail. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(575), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(575). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(574), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(574). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(573), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(573). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(572), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(572). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(571), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(571). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(570), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(570). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(569), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(569). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(568), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(568). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(567), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(567). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(566), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(566). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(565), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(565). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(564), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(564). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(563), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(563). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(562), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(562). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(561), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(561). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(560), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(560). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(559), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(559). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(558), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(558). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(557), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(557). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(556), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(556). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(555), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(555). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(554), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(554). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(553), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(553). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(552), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(552). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(551), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(551). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(550), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(550). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(549), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(549). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(548), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(548). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(547), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(547). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(546), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(546). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(545), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(545). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(544), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(544). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(543), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(543). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(542), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(542). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(541), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(541). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(540), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(540). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(539), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(539). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(538), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(538). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(537), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(537). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(536), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(536). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(535), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(535). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(534), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(534). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(533), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(533). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(532), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(532). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(531), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(531). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(530), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(530). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(529), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(529). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(528), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(528). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(527), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(527). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(526), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(526). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(525), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(525). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(524), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(524). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(523), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(523). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(522), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(522). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(521), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(521). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(520), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(520). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(519), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(519). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(518), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(518). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(517), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(517). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(516), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(516). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(515), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(515). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(514), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(514). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(513), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(513). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(512), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(512). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(511), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(511). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(510), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(510). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(509), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(509). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(508), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(508). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(507), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(507). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(506), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(506). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(505), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(505). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(504), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(504). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(503), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(503). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(502), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(502). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(501), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(501). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(500), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(500). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(499), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(499). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(498), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(498). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(497), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(497). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(496), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(496). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(495), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(495). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(494), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(494). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(493), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(493). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(492), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(492). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(491), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(491). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(490), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(490). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(489), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(489). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(488), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(488). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(487), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(487). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(486), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(486). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(485), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(485). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(484), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(484). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(483), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(483). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(482), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(482). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(481), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(481). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(480), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(480). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(479), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(479). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(478), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(478). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(477), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(477). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(476), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(476). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(475), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(475). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(474), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(474). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(473), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(473). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(472), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(472). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(471), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(471). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(470), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(470). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(469), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(469). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(468), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(468). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(467), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(467). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(466), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(466). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(465), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(465). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(464), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(464). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(463), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(463). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(462), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(462). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(461), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(461). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(460), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(460). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(459), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(459). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(458), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(458). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(457), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(457). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(456), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(456). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(455), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(455). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(454), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(454). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(453), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(453). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(452), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(452). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(451), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(451). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(450), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(450). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(449), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(449). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(448), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(448). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(447), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(447). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(446), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(446). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(445), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(445). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(444), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(444). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(443), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(443). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(442), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(442). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(441), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(441). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(440), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(440). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(439), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(439). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(438), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(438). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(437), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(437). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(436), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(436). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(435), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(435). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(434), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(434). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(433), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(433). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(432), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(432). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(431), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(431). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(430), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(430). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(429), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(429). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(428), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(428). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(427), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(427). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(426), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(426). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(425), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(425). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(424), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(424). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(423), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(423). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(422), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(422). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(421), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(421). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(420), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(420). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(419), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(419). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(418), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(418). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(417), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(417). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(416), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(416). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(415), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(415). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(414), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(414). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(413), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(413). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(412), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(412). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(411), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(411). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(410), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(410). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(409), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(409). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(408), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(408). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(407), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(407). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(406), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(406). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(405), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(405). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(404), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(404). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(403), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(403). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(402), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(402). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(401), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(401). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(400), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(400). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(399), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(399). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(398), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(398). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(397), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(397). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(396), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(396). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(395), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(395). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(394), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(394). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(393), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(393). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(392), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(392). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(391), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(391). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(390), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(390). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(389), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(389). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(388), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(388). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(387), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(387). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(386), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(386). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(385), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(385). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(384), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(384). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(383), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(383). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(382), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(382). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(381), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(381). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(380), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(380). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(379), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(379). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(378), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(378). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(377), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(377). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(376), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(376). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(375), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(375). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(374), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(374). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(373), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(373). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(372), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(372). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(371), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(371). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(370), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(370). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(369), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(369). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(368), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(368). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(367), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(367). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(366), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(366). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(365), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(365). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(364), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(364). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(363), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(363). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(362), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(362). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(361), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(361). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(360), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(360). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(359), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(359). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(358), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(358). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(357), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(357). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(356), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(356). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(355), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(355). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(354), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(354). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(353), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(353). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(352), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(352). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(351), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(351). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(350), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(350). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(349), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(349). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(348), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(348). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(347), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(347). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(346), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(346). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(345), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(345). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(344), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(344). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(343), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(343). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(342), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(342). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(341), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(341). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(340), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(340). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(339), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(339). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(338), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(338). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(337), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(337). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(336), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(336). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(335), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(335). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(334), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(334). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(333), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(333). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(332), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(332). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(331), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(331). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(330), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(330). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(329), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(329). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(328), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(328). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(327), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(327). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(326), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(326). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(325), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(325). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(324), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(324). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(323), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(323). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(322), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(322). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(321), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(321). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(320), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(320). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(319), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(319). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(318), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(318). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(317), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(317). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(316), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(316). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(315), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(315). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(314), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(314). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(313), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(313). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(312), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(312). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(311), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(311). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(310), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(310). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(309), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(309). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(308), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(308). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(307), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(307). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(306), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(306). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(305), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(305). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(304), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(304). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(303), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(303). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(302), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(302). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(301), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(301). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(300), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(300). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(299), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(299). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(298), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(298). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(297), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(297). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(296), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(296). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(295), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(295). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(294), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(294). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(293), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(293). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(292), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(292). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(291), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(291). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(290), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(290). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(289), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(289). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(288), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(288). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(287), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(287). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(286), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(286). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(285), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(285). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(284), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(284). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(283), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(283). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(282), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(282). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(281), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(281). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(280), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(280). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(279), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(279). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(278), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(278). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(277), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(277). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(276), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(276). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(275), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(275). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(274), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(274). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(273), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(273). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(272), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(272). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(271), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(271). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(270), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(270). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(269), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(269). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(268), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(268). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(267), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(267). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(266), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(266). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(265), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(265). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(264), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(264). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(263), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(263). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(262), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(262). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(261), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(261). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(260), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(260). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(259), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(259). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(258), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(258). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(257), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(257). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(256), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(256). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(255), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(255). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(254), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(254). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(253), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(253). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(252), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(252). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(251), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(251). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(250), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(250). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(249), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(249). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(248), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(248). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(247), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(247). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(246), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(246). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(245), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(245). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(244), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(244). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(243), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(243). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(242), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(242). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(241), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(241). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(240), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(240). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(239), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(239). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(238), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(238). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(237), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(237). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(236), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(236). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(235), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(235). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(234), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(234). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(233), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(233). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(232), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(232). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(231), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(231). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(230), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(230). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(229), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(229). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(228), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(228). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(227), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(227). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(226), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(226). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(225), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(225). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(224), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(224). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(223), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(223). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(222), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(222). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(221), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(221). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(220), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(220). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(219), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(219). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(218), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(218). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(217), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(217). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(216), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(216). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(215), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(215). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(214), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(214). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(213), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(213). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(212), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(212). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(211), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(211). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(210), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(210). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(209), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(209). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(208), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(208). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(207), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(207). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(206), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(206). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(205), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(205). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(204), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(204). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(203), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(203). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(202), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(202). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(201), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(201). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(200), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(200). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(199), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(199). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(198), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(198). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(197), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(197). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(196), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(196). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(195), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(195). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(194), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(194). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(193), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(193). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(192), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(192). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(191), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(191). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(190), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(190). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(189), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(189). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(188), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(188). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(187), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(187). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(186), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(186). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(185), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(185). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(184), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(184). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(183), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(183). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(182), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(182). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(181), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(181). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(180), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(180). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(179), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(179). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(178), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(178). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(177), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(177). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(176), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(176). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(175), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(175). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(174), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(174). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(173), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(173). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(172), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(172). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(171), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(171). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(170), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(170). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(169), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(169). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(168), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(168). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(167), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(167). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(166), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(166). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(165), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(165). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(164), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(164). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(163), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(163). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(162), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(162). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(161), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(161). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(160), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(160). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(159), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(159). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(158), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(158). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(157), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(157). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(156), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(156). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(155), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(155). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(154), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(154). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(153), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(153). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(152), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(152). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(151), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(151). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(150), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(150). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(149), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(149). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(148), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(148). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(147), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(147). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(146), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(146). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(145), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(145). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(144), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(144). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(143), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(143). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(142), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(142). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(141), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(141). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(140), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(140). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(139), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(139). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(138), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(138). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(137), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(137). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(136), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(136). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(135), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(135). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(134), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(134). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(133), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(133). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(132), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(132). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(131), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(131). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(130), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(130). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(129), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(129). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(128), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(128). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(127), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(127). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(126), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(126). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(125), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(125). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(124), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(124). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(123), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(123). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(122), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(122). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(121), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(121). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(120), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(120). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(119), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(119). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(118), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(118). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(117), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(117). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(116), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(116). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(115), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(115). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(114), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(114). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(113), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(113). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(112), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(112). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(111), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(111). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(110), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(110). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(109), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(109). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(108), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(108). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(107), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(107). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(106), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(106). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(105), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(105). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(104), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(104). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(103), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(103). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(102), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(102). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(101), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(101). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(100), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(100). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(99), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(99). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(98), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(98). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(97), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(97). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(96), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(96). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(95), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(95). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(94), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(94). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(93), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(93). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(92), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(92). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(91), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(91). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(90), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(90). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(89), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(89). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(88), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(88). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(87), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(87). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(86), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(86). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(85), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(85). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(84), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(84). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(83), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(83). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(82), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(82). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(81), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(81). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(80), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(80). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(79), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(79). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(78), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(78). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(77), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(77). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(76), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(76). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(75), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(75). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(74), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(74). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(73), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(73). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(72), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(72). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(71), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(71). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(70), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(70). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(69), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(69). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(68), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(68). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(67), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(67). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(66), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(66). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(65), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(65). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(64), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(64). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(63), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(63). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(62), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(62). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(61), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(61). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(60), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(60). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(59), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(59). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(58), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(58). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(57), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(57). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(56), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(56). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(55), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(55). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(54), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(54). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(53), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(53). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(52), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(52). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(51), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(51). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(50), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(50). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(49), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(49). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(48), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(48). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(47), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(47). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(46), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(46). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(45), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(45). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(44), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(44). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(43), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(43). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(42), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(42). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(41), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(41). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(40), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(40). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(39), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(39). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(38), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(38). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(37), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(37). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(36), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(36). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(35), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(35). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(34), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(34). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(33), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(33). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(32), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(32). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(31), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(31). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(30), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(30). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(29), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(29). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(28), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(28). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(27), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(27). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(26), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(26). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(25), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(25). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(24), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(24). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(23), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(23). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(22), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(22). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(21), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(21). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(20), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(20). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(19), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(19). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(18), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(18). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(17), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(17). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(16), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(16). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(15), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(15). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(14), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(14). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(13), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(13). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(12), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(12). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(11), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(11). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(10), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(10). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(9), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(9). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(8), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(8). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(7), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(7). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(6), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(6). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(5), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(5). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(4), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(4). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(3), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(3). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(2), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(2). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/dvr_miso.rddata(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/mm_dvr_miso.rddata(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy3_io.scl, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_io.scl. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy3_io.sda, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_io.sda. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy3_ou.cke(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.cke(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy3_ou.cs_n(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.cs_n(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy3_ou.odt(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.odt(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_io.dq(71 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_io.dq(71 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_io.dqs(8 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_io.dqs(8 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_io.dqs_n(8 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_io.dqs_n(8 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_io.dbi_n(8 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_io.dbi_n(8 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.act_n, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.act_n. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.par, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.par. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.reset_n, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.reset_n. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.a(16 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.a(16 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.ba(1 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.ba(1 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.bg(1 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.bg(1 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.ck(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.ck(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.ck_n(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.ck_n(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.cke(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.cke(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.cs_n(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.cs_n(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/phy4_ou.odt(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.odt(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/wr_fifo_usedw(9 downto 0) has no driver. -# This port will contribute value (X) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/rd_fifo_usedw(9 downto 0) has no driver. -# This port will contribute value (X) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/term_ctrl_out.seriesterminationcontrol(13 downto 0) has no driver. -# This port will contribute value (X) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/term_ctrl_out.parallelterminationcontrol(13 downto 0) has no driver. -# This port will contribute value (X) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy3_io.scl, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_io.scl. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy3_io.sda, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_io.sda. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy3_ou.cke(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.cke(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy3_ou.cs_n(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.cs_n(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy3_ou.odt(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.odt(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_io.dq(71 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_io.dq(71 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_io.dqs(8 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_io.dqs(8 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_io.dqs_n(8 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_io.dqs_n(8 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_io.dbi_n(8 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_io.dbi_n(8 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.act_n, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.act_n. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.par, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.par. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.reset_n, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.reset_n. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.a(16 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.a(16 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.ba(1 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.ba(1 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.bg(1 downto 0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.bg(1 downto 0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.ck(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.ck(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.ck_n(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.ck_n(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.cke(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.cke(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.cs_n(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.cs_n(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/phy4_ou.odt(0), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy4_ou.odt(0). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy3_io.scl, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_io.scl. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy3_io.sda, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_io.sda. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy3_ou.cke(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.cke(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy3_ou.cs_n(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.cs_n(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/phy3_ou.odt(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.odt(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/phy_3_io.scl, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_io.scl. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/phy_3_io.sda, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_io.sda. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/phy_3_ou.cke(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.cke(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/phy_3_ou.cs_n(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.cs_n(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/phy_3_ou.odt(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.odt(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/eth_sgout, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/eth_txp. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/si_fn_0_tx, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/si_fn_lpbk_0. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/si_fn_1_tx, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/si_fn_lpbk_1. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/si_fn_2_tx, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/si_fn_lpbk_2. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/si_fn_3_tx(3 downto 0) has no driver. -# This port will contribute value (X) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/mb_i_io.scl, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_io.scl. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/mb_i_io.sda, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_io.sda. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/mb_i_ou.cke(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.cke(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/mb_i_ou.cs_n(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.cs_n(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/mb_i_ou.odt(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_ou.odt(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/mb_ii_io.scl, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_io.scl. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/mb_ii_io.sda, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_io.sda. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/mb_ii_ou.cke(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.cke(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/mb_ii_ou.cs_n(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.cs_n(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/mb_ii_ou.odt(1), and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_ou.odt(1). -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/gen_tech_ddr_memory_model_mb_i/u_tech_ddr_memory_model_mb_i/mem3_io.scl, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_io.scl. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/gen_tech_ddr_memory_model_mb_i/u_tech_ddr_memory_model_mb_i/mem3_io.sda, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_i_io.sda. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/gen_tech_ddr_memory_model_mb_i/u_tech_ddr_memory_model_mb_i/mem3_ou.evt has no driver. -# This port will contribute value (U) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/gen_tech_ddr_memory_model_mb_i/u_tech_ddr_memory_model_mb_i/mem3_ou.oct_rup has no driver. -# This port will contribute value (U) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/gen_tech_ddr_memory_model_mb_i/u_tech_ddr_memory_model_mb_i/mem3_ou.oct_rdn has no driver. -# This port will contribute value (U) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/gen_tech_ddr_memory_model_mb_ii/u_tech_ddr_memory_model_mb_ii/mem3_io.scl, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_io.scl. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8684) No drivers exist on inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/gen_tech_ddr_memory_model_mb_ii/u_tech_ddr_memory_model_mb_ii/mem3_io.sda, and its initial value is not used. -# Therefore, simulation behavior may occur that is not in compliance with -# the VHDL standard as the initial values come from the base signal /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/phy_mb_ii_io.sda. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/gen_tech_ddr_memory_model_mb_ii/u_tech_ddr_memory_model_mb_ii/mem3_ou.evt has no driver. -# This port will contribute value (U) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/gen_tech_ddr_memory_model_mb_ii/u_tech_ddr_memory_model_mb_ii/mem3_ou.oct_rup has no driver. -# This port will contribute value (U) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized out port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/gen_tech_ddr_memory_model_mb_ii/u_tech_ddr_memory_model_mb_ii/mem3_ou.oct_rdn has no driver. -# This port will contribute value (U) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -# ** Warning: (vsim-8683) Uninitialized inout port /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_power/scl has no driver. -# This port will contribute value (H) to the signal network. -# Region: /tb_unb1_test_ddr_mb_i_ii -as 10 -run 500us -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(3) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(2) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(1) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(0) -# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_rl/gen_fifo -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_rl/gen_fifo -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(3) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(2) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(1) -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_diag_data_buffer/gen_rx_seq/u_mms_diag_rx_seq/gen_nof_streams(0)/gen_diag_steps_2arr(0) -# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_io_ddr_driver -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_rd_fifo/gen_mixed -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_rl/gen_fifo -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_rl/gen_fifo -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_io_ddr/u_io_ddr/u_wr_fifo/gen_mixed -# [0 ns ] $UNB/Software/python/sim/sim.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_TR_XAUI.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_TR_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_AVS_ETH_0_MMS_REG.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_RAM_DIAG_DATA_BUFFER_DDR_MB_II.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_DATA_BUFFER_DDR_MB_II.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_RX_SEQ_DDR_MB_II.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_TX_SEQ_DDR_MB_II.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_II.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_RAM_DIAG_DATA_BUFFER_DDR_MB_I.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_DATA_BUFFER_DDR_MB_I.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_RX_SEQ_DDR_MB_I.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_TX_SEQ_DDR_MB_I.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_RX_SEQ_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_RAM_DIAG_DATA_BUFFER_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_DATA_BUFFER_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_RX_SEQ_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_RAM_DIAG_DATA_BUFFER_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_DATA_BUFFER_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_BSN_MONITOR_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_BSN_MONITOR_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DP_OFFLOAD_RX_10GBE_HDR_DAT.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DP_OFFLOAD_RX_1GBE_HDR_DAT.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DP_OFFLOAD_TX_10GBE_HDR_DAT.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DP_OFFLOAD_TX_1GBE_HDR_DAT.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DP_OFFLOAD_TX_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DP_OFFLOAD_TX_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_TX_SEQ_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_RAM_DIAG_BG_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_BG_10GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_TX_SEQ_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_RAM_DIAG_BG_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_BG_1GBE.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_PIO_PPS.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_UNB_SENS.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_WDI.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_ROM_SYSTEM_INFO.ctrl: Created -# [0 ns ] $UNB/Software/python/sim/UNB_0_FN_0_PIO_SYSTEM_INFO.ctrl: Created -# ** Warning: NUMERIC_STD.">": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_sens -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_sens/u_unb1_board_sens/u_i2c_smbus -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_sens/u_unb1_board_sens/u_i2c_smbus -# ** Warning: NUMERIC_STD."=": null argument detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_sens/u_unb1_board_sens/u_i2c_smbus/u_comma_sc_low -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_sens/u_unb1_board_sens/u_i2c_smbus/byte -# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_ppsh/u_ppsh -# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_ppsh/u_ppsh/u_capture_cnt -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr5/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr5/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr5/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr5/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr4/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr4/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr4/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr4/l1/u1 -# [0 ns ] Load Memory File: ../ip_stratixiv_flash/memory_file -# [0 ns ] -- W Signal: VPP range -> Fast PP/SE/BE/WRSR Operations are allowed -- -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_epcs_to_user/gen_mixed -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0. -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed/u_fifo_mw/u_fifo/gen_ip_stratixiv/u0/dcfifo_mixed_widths_component/lowlatency_fifo/lowlatency -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_fifo_user_to_epcs/gen_mixed -# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0 -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_system_info/u_unb1_board_system_info -# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 0 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_unb1_board_node_ctrl/u_common_pulser_us_ms_s/u_common_pulser_us -# Using Fast pll emif simulation models -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[0].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[0].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[1].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[1].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 2500 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 1250.000000 -# Info: output_clock_low_period = 1250.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[2].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[2].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 2500 ps -# Info: phase_shift = 625 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 1250.000000 -# Info: output_clock_low_period = 1250.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[3].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[3].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 3750 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[4].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[4].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 10000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 5000.000000 -# Info: output_clock_low_period = 5000.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[5].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[5].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 10000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 5000.000000 -# Info: output_clock_low_period = 5000.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[6].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[6].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 40000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 20000.000000 -# Info: output_clock_low_period = 20000.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[7].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[7].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[8].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[8].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[9].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[9].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Using Fast core emif simulation models -# Note: DLL instance tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.dll0.dll_wys_m has input frequency 2500 ps -# sim_valid_lock 16 -# sim_valid_lockcount 0 -# sim_low_buffer_intrinsic_delay 175 -# sim_high_buffer_intrinsic_delay 175 -# delay_buffer_mode HIGH -# sim_buffer_intrinsic_delay 175 -# sim_buffer_delay_increment 10 -# delay_chain_length 8 -# delayctrlout_mode normal -# static_delay_ctrl 8 -# use_jitter_reduction true -# use_upndnin false -# use_upndninclkena false -# Using Fast pll emif simulation models -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[0].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[0].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[1].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[1].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 2500 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 1250.000000 -# Info: output_clock_low_period = 1250.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[2].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[2].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 2500 ps -# Info: phase_shift = 625 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 1250.000000 -# Info: output_clock_low_period = 1250.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[3].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[3].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 3750 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[4].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[4].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 10000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 5000.000000 -# Info: output_clock_low_period = 5000.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[5].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[5].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 10000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 5000.000000 -# Info: output_clock_low_period = 5000.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[6].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[6].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 40000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 20000.000000 -# Info: output_clock_low_period = 20000.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[7].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[7].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[8].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[8].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Info: ================================================= -# Info: Generic PLL Summary -# Info: ================================================= -# Time scale of (tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[9].gpll.no_need_to_gen) is 1ps / 1ps -# Info: hierarchical_name = tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.pll0.genblk1.pll_inst.genblk2.general[9].gpll.no_need_to_gen -# Info: reference_clock_frequency = 200.0 MHz -# Info: output_clock_frequency = 5000 ps -# Info: phase_shift = 0 ps -# Info: duty_cycle = 50 -# Info: sim_additional_refclk_cycles_to_lock = 4 -# Info: output_clock_high_period = 2500.000000 -# Info: output_clock_low_period = 2500.000000 -# Using Fast core emif simulation models -# Note: DLL instance tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.dll0.dll_wys_m has input frequency 2500 ps -# sim_valid_lock 16 -# sim_valid_lockcount 0 -# sim_low_buffer_intrinsic_delay 175 -# sim_high_buffer_intrinsic_delay 175 -# delay_buffer_mode HIGH -# sim_buffer_intrinsic_delay 175 -# sim_buffer_delay_increment 10 -# delay_chain_length 8 -# delayctrlout_mode normal -# static_delay_ctrl 8 -# use_jitter_reduction true -# use_upndnin false -# use_upndninclkena false -# Altera Generic DDR3 Memory Model -# [0] [DWR=000]: Max refresh interval of 36000000 ps -# Setting burst length Fixed BL8 -# [0] [DWR=000]: Initializing bank 0 -# [0] [DWR=000]: Initializing bank 1 -# [0] [DWR=000]: Initializing bank 2 -# [0] [DWR=000]: Initializing bank 3 -# [0] [DWR=000]: Initializing bank 4 -# [0] [DWR=000]: Initializing bank 5 -# [0] [DWR=000]: Initializing bank 6 -# [0] [DWR=000]: Initializing bank 7 -# Altera Generic DDR3 Memory Model -# [0] [DWR=000]: Max refresh interval of 36000000 ps -# Setting burst length Fixed BL8 -# [0] [DWR=000]: Initializing bank 0 -# [0] [DWR=000]: Initializing bank 1 -# [0] [DWR=000]: Initializing bank 2 -# [0] [DWR=000]: Initializing bank 3 -# [0] [DWR=000]: Initializing bank 4 -# [0] [DWR=000]: Initializing bank 5 -# [0] [DWR=000]: Initializing bank 6 -# [0] [DWR=000]: Initializing bank 7 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 1 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_unb1_board_sens/u_unb1_board_sens/u_i2c_smbus -# ** Warning: NUMERIC_STD."=": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 1 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_ppsh/u_ppsh -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 2 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_diag_block_gen/gen_tx_seq/u_mms_diag_tx_seq/gen_nof_streams(0)/u_diag_tx_seq -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 2 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_diag_block_gen/gen_tx_seq/u_mms_diag_tx_seq/gen_nof_streams(0)/u_diag_tx_seq -# [0 ns ] -- S Signal: HIGH -> The Chip is NOT selected -- -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 2 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr4/l1/u1 -# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es). -# Time: 0 fs Iteration: 2 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs/u_asmi_parallel/gen_ip_stratixiv/u0/ip_stratixiv_asmi_parallel_altasmi_parallel_15a2_component/cmpr4/l1/u1 -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 3 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_ii/u_ddr_stream_mb_ii/u_mms_io_ddr_diag/u_mms_diag_block_gen/gen_tx_seq/u_mms_diag_tx_seq/gen_nof_streams(0)/u_diag_tx_seq -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 3 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/gen_ddr_dual_stream_mb_i/u_ddr_stream_mb_i/u_mms_io_ddr_diag/u_mms_diag_block_gen/gen_tx_seq/u_mms_diag_tx_seq/gen_nof_streams(0)/u_diag_tx_seq -# ** Warning: NUMERIC_STD."<": metavalue detected, returning FALSE -# Time: 0 fs Iteration: 4 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/u_mms_epcs -# ** Note: Stratix IV PLL was reset -# Time: 0 fs Iteration: 5 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/gen_pll/u_unb1_board_clk200_pll/gen_0/u_st_pll/gen_ip_stratixiv/u0/altpll_component/stratixiii_altpll/m4 -# ** Note: Stratix IV PLL was reset -# Time: 0 fs Iteration: 5 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/gen_clk25_pll/u_unb1_board_clk25_pll/u_pll/gen_ip_stratixiv/u0/altpll_component/stratixiii_altpll/m4 -# ** Note: Stratix IV PLL locked to incoming clock -# Time: 300 ns Iteration: 3 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/gen_clk25_pll/u_unb1_board_clk25_pll/u_pll/gen_ip_stratixiv/u0/altpll_component/stratixiii_altpll/m4 -# ** Note: Stratix IV PLL locked to incoming clock -# Time: 352500 ps Iteration: 3 Instance: /tb_unb1_test_ddr_mb_i_ii/u_tb_unb1_test/u_unb1_test/u_ctrl/gen_pll/u_unb1_board_clk200_pll/gen_0/u_st_pll/gen_ip_stratixiv/u0/altpll_component/stratixiii_altpll/m4 -# 573125 Note : DLL instance tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_ii.u_ddr_stream_mb_ii.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.dll0.dll_wys_m to lock to incoming clock per sim_valid_lock half clock cycles. -# 573125 Note : DLL instance tb_unb1_test_ddr_mb_i_ii.u_tb_unb1_test.u_unb1_test.gen_ddr_dual_stream_mb_i.u_ddr_stream_mb_i.u_mms_io_ddr_diag.u_mms_io_ddr.u_io_ddr.u_tech_ddr.gen_ip.gen_ip_stratixiv.u0.gen_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.u_ip_stratixiv_ddr3_uphy_4g_single_rank_800_master.ip_stratixiv_ddr3_uphy_4g_single_rank_800_master_inst.dll0.dll_wys_m to lock to incoming clock per sim_valid_lock half clock cycles. -# 622500000, [GENERIC ASSERT] AC_MASKED_BUS_WIDTH PARAMETER is correct -# 622500000, [GENERIC ASSERT] AC_MASKED_BUS_WIDTH PARAMETER is correct -# [18287508000] [DWR=000]: MRS Command - MRS [ 2 ] -> 200 -# MRS - 2 -# CAS WRITE LATENCY set to : 5 -# [18287508000] [DWR=000]: MRS Command - MRS [ 2 ] -> 200 -# MRS - 2 -# CAS WRITE LATENCY set to : 5 -# [19557508000] [DWR=000]: MRS Command - MRS [ 3 ] -> 0 -# MRS - 3: not supported -# [19557508000] [DWR=000]: MRS Command - MRS [ 3 ] -> 0 -# MRS - 3: not supported -# [20827508000] [DWR=000]: MRS Command - MRS [ 1 ] -> 6 -# MRS - 1 -# Setting Additive CAS LATENCY to 0 -# [20827508000] [DWR=000]: MRS Command - MRS [ 1 ] -> 6 -# MRS - 1 -# Setting Additive CAS LATENCY to 0 -# [21617508000] [DWR=000]: MRS Command - MRS [ 0 ] -> 520 -# MRS - 0 -# Setting burst length Fixed BL8 -# CAS LATENCY set to : 6 -# Resetting DLL -# [21617508000] [DWR=000]: MRS Command - MRS [ 0 ] -> 520 -# MRS - 0 -# Setting burst length Fixed BL8 -# CAS LATENCY set to : 6 -# Resetting DLL -# [22407508000] [DWR=000]: ZQC Command -# [22407508000] [DWR=000]: ZQC Command -# [28287508000] [DWR=000]: PRECHARGE - ALL BANKS -# [28287508000] [DWR=000]: PRECHARGE - ALL BANKS -# [29337508000] [DWR=000]: ACTIVATE (queue) - BANK [ 0 ] - ROW [ 0 ] -# [29337508000] [DWR=000]: ACTIVATE (queue) - BANK [ 0 ] - ROW [ 0 ] -# [29352508000] [DWR=000]: ACTIVATE (execute) - BANK [ 0 ] - ROW [ 0 ] -# [29352508000] [DWR=000]: ACTIVATE (execute) - BANK [ 0 ] - ROW [ 0 ] -# [29422508000] [DWR=000]: ACTIVATE (queue) - BANK [ 3 ] - ROW [ 0 ] -# [29422508000] [DWR=000]: ACTIVATE (queue) - BANK [ 3 ] - ROW [ 0 ] -# [29437508000] [DWR=000]: ACTIVATE (execute) - BANK [ 3 ] - ROW [ 0 ] -# [29437508000] [DWR=000]: ACTIVATE (execute) - BANK [ 3 ] - ROW [ 0 ] -# [46652 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_TX_SEQ_DDR_MB_I.ctrl: Writing 0x00000000 to address 0x00000000 -# [47332 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_DIAG_RX_SEQ_DDR_MB_I.ctrl: Writing 0x00000000 to address 0x00000000 -# [48004 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [54387508000] [DWR=000]: PRECHARGE - ALL BANKS -# [54387508000] [DWR=000]: PRECHARGE - ALL BANKS -# [55437508000] [DWR=000]: ACTIVATE (queue) - BANK [ 0 ] - ROW [ 0 ] -# [55437508000] [DWR=000]: ACTIVATE (queue) - BANK [ 0 ] - ROW [ 0 ] -# [55452508000] [DWR=000]: ACTIVATE (execute) - BANK [ 0 ] - ROW [ 0 ] -# [55452508000] [DWR=000]: ACTIVATE (execute) - BANK [ 0 ] - ROW [ 0 ] -# [55522508000] [DWR=000]: ACTIVATE (queue) - BANK [ 3 ] - ROW [ 0 ] -# [55522508000] [DWR=000]: ACTIVATE (queue) - BANK [ 3 ] - ROW [ 0 ] -# [55537508000] [DWR=000]: ACTIVATE (execute) - BANK [ 3 ] - ROW [ 0 ] -# [55537508000] [DWR=000]: ACTIVATE (execute) - BANK [ 3 ] - ROW [ 0 ] -# [57652 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [59327508000] [DWR=000]: WRITE (BL8) - BANK [ 0 ] - COL [ 8 ] -# [59327508000] [DWR=000]: WRITE (BL8) - BANK [ 0 ] - COL [ 8 ] -# [59340108000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ 8 (BRC=0/0/8 ) burst 0 -# [59340108000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ 8 (BRC=0/0/8 ) burst 0 -# [59341358000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ 9 (BRC=0/0/8 ) burst 1 -# [59341358000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ 9 (BRC=0/0/8 ) burst 1 -# [59342608000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ a (BRC=0/0/8 ) burst 2 -# [59342608000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ a (BRC=0/0/8 ) burst 2 -# [59343858000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ b (BRC=0/0/8 ) burst 3 -# [59343858000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ b (BRC=0/0/8 ) burst 3 -# [59345108000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c (BRC=0/0/8 ) burst 4 -# [59345108000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c (BRC=0/0/8 ) burst 4 -# [59346358000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ d (BRC=0/0/8 ) burst 5 -# [59346358000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ d (BRC=0/0/8 ) burst 5 -# [59347508000] [DWR=000]: WRITE (BL8) - BANK [ 3 ] - COL [ 0 ] -# [59347508000] [DWR=000]: WRITE (BL8) - BANK [ 3 ] - COL [ 0 ] -# [59347608000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ e (BRC=0/0/8 ) burst 6 -# [59347608000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ e (BRC=0/0/8 ) burst 6 -# [59348858000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ f (BRC=0/0/8 ) burst 7 -# [59348858000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ f (BRC=0/0/8 ) burst 7 -# [59360108000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000000 (BRC=3/0/0 ) burst 0 -# [59360108000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000000 (BRC=3/0/0 ) burst 0 -# [59361358000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000001 (BRC=3/0/0 ) burst 1 -# [59361358000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000001 (BRC=3/0/0 ) burst 1 -# [59362608000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000002 (BRC=3/0/0 ) burst 2 -# [59362608000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000002 (BRC=3/0/0 ) burst 2 -# [59363858000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000003 (BRC=3/0/0 ) burst 3 -# [59363858000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000003 (BRC=3/0/0 ) burst 3 -# [59365108000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000004 (BRC=3/0/0 ) burst 4 -# [59365108000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000004 (BRC=3/0/0 ) burst 4 -# [59366358000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000005 (BRC=3/0/0 ) burst 5 -# [59366358000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000005 (BRC=3/0/0 ) burst 5 -# [59367608000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000006 (BRC=3/0/0 ) burst 6 -# [59367608000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000006 (BRC=3/0/0 ) burst 6 -# [59368858000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000007 (BRC=3/0/0 ) burst 7 -# [59368858000] [DWR=000]: Writing data 5555555555555555 (5555555555555555/ffffffffffffffff) @ c000007 (BRC=3/0/0 ) burst 7 -# [59517508000] [DWR=000]: WRITE (BL8) - BANK [ 3 ] - COL [ 8 ] -# [59517508000] [DWR=000]: WRITE (BL8) - BANK [ 3 ] - COL [ 8 ] -# [59530108000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c000008 (BRC=3/0/8 ) burst 0 -# [59530108000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c000008 (BRC=3/0/8 ) burst 0 -# [59531358000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c000009 (BRC=3/0/8 ) burst 1 -# [59531358000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c000009 (BRC=3/0/8 ) burst 1 -# [59532608000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000a (BRC=3/0/8 ) burst 2 -# [59532608000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000a (BRC=3/0/8 ) burst 2 -# [59533858000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000b (BRC=3/0/8 ) burst 3 -# [59533858000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000b (BRC=3/0/8 ) burst 3 -# [59535108000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000c (BRC=3/0/8 ) burst 4 -# [59535108000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000c (BRC=3/0/8 ) burst 4 -# [59536358000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000d (BRC=3/0/8 ) burst 5 -# [59536358000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000d (BRC=3/0/8 ) burst 5 -# [59537508000] [DWR=000]: WRITE (BL8) - BANK [ 0 ] - COL [ 0 ] -# [59537508000] [DWR=000]: WRITE (BL8) - BANK [ 0 ] - COL [ 0 ] -# [59537608000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000e (BRC=3/0/8 ) burst 6 -# [59537608000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000e (BRC=3/0/8 ) burst 6 -# [59538858000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000f (BRC=3/0/8 ) burst 7 -# [59538858000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ c00000f (BRC=3/0/8 ) burst 7 -# [59550108000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 0 (BRC=0/0/0 ) burst 0 -# [59550108000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 0 (BRC=0/0/0 ) burst 0 -# [59551358000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 1 (BRC=0/0/0 ) burst 1 -# [59551358000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 1 (BRC=0/0/0 ) burst 1 -# [59552608000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 2 (BRC=0/0/0 ) burst 2 -# [59552608000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 2 (BRC=0/0/0 ) burst 2 -# [59553858000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 3 (BRC=0/0/0 ) burst 3 -# [59553858000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 3 (BRC=0/0/0 ) burst 3 -# [59555108000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 4 (BRC=0/0/0 ) burst 4 -# [59555108000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 4 (BRC=0/0/0 ) burst 4 -# [59556358000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 5 (BRC=0/0/0 ) burst 5 -# [59556358000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 5 (BRC=0/0/0 ) burst 5 -# [59557608000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 6 (BRC=0/0/0 ) burst 6 -# [59557608000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 6 (BRC=0/0/0 ) burst 6 -# [59558858000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 7 (BRC=0/0/0 ) burst 7 -# [59558858000] [DWR=000]: Writing data aaaaaaaaaaaaaaaa (aaaaaaaaaaaaaaaa/ffffffffffffffff) @ 7 (BRC=0/0/0 ) burst 7 -# [63657508000] [DWR=000]: PRECHARGE - ALL BANKS -# [63657508000] [DWR=000]: PRECHARGE - ALL BANKS -# [64447508000] [DWR=000]: MRS Command - MRS [ 2 ] -> 200 -# MRS - 2 -# CAS WRITE LATENCY set to : 5 -# [64447508000] [DWR=000]: MRS Command - MRS [ 2 ] -> 200 -# MRS - 2 -# CAS WRITE LATENCY set to : 5 -# [66017508000] [DWR=000]: MRS Command - MRS [ 3 ] -> 0 -# MRS - 3: not supported -# [66017508000] [DWR=000]: MRS Command - MRS [ 3 ] -> 0 -# MRS - 3: not supported -# [67252 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [67287508000] [DWR=000]: MRS Command - MRS [ 1 ] -> 6 -# MRS - 1 -# Setting Additive CAS LATENCY to 0 -# [67287508000] [DWR=000]: MRS Command - MRS [ 1 ] -> 6 -# MRS - 1 -# Setting Additive CAS LATENCY to 0 -# [68557508000] [DWR=000]: MRS Command - MRS [ 0 ] -> 421 -# MRS - 0 -# Setting burst length on-the-fly -# CAS LATENCY set to : 6 -# [68557508000] [DWR=000]: MRS Command - MRS [ 0 ] -> 421 -# MRS - 0 -# Setting burst length on-the-fly -# CAS LATENCY set to : 6 -# [71307508000] [DWR=000]: REFRESH Command -# [71307508000] [DWR=000]: REFRESH Command -# [77092 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [79112508000] [DWR=000]: REFRESH Command -# [79112508000] [DWR=000]: REFRESH Command -# [86917508000] [DWR=000]: REFRESH Command -# [86917508000] [DWR=000]: REFRESH Command -# [87076 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [94722508000] [DWR=000]: REFRESH Command -# [94722508000] [DWR=000]: REFRESH Command -# [97004 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [102527508000] [DWR=000]: REFRESH Command -# [102527508000] [DWR=000]: REFRESH Command -# [107044 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [110332508000] [DWR=000]: REFRESH Command -# [110332508000] [DWR=000]: REFRESH Command -# [116644 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [118137508000] [DWR=000]: REFRESH Command -# [118137508000] [DWR=000]: REFRESH Command -# [125942508000] [DWR=000]: REFRESH Command -# [125942508000] [DWR=000]: REFRESH Command -# [126532 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [133747508000] [DWR=000]: REFRESH Command -# [133747508000] [DWR=000]: REFRESH Command -# [136172 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [141552508000] [DWR=000]: REFRESH Command -# [141552508000] [DWR=000]: REFRESH Command -# [145172 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [149357508000] [DWR=000]: REFRESH Command -# [149357508000] [DWR=000]: REFRESH Command -# [155068 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [157162508000] [DWR=000]: REFRESH Command -# [157162508000] [DWR=000]: REFRESH Command -# [164967508000] [DWR=000]: REFRESH Command -# [164967508000] [DWR=000]: REFRESH Command -# [165100 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [172772508000] [DWR=000]: REFRESH Command -# [172772508000] [DWR=000]: REFRESH Command -# [174892 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [180577508000] [DWR=000]: REFRESH Command -# [180577508000] [DWR=000]: REFRESH Command -# [184764 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [188382508000] [DWR=000]: REFRESH Command -# [188382508000] [DWR=000]: REFRESH Command -# [194580 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [196187508000] [DWR=000]: REFRESH Command -# [196187508000] [DWR=000]: REFRESH Command -# [203992508000] [DWR=000]: REFRESH Command -# [203992508000] [DWR=000]: REFRESH Command -# [204556 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [211797508000] [DWR=000]: REFRESH Command -# [211797508000] [DWR=000]: REFRESH Command -# [214548 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [219602508000] [DWR=000]: REFRESH Command -# [219602508000] [DWR=000]: REFRESH Command -# [224628 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [227407508000] [DWR=000]: REFRESH Command -# [227407508000] [DWR=000]: REFRESH Command -# [234724 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [235212508000] [DWR=000]: REFRESH Command -# [235212508000] [DWR=000]: REFRESH Command -# [243017508000] [DWR=000]: REFRESH Command -# [243017508000] [DWR=000]: REFRESH Command -# [244788 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [250822508000] [DWR=000]: REFRESH Command -# [250822508000] [DWR=000]: REFRESH Command -# [254724 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [258627508000] [DWR=000]: REFRESH Command -# [258627508000] [DWR=000]: REFRESH Command -# [264764 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [266432508000] [DWR=000]: REFRESH Command -# [266432508000] [DWR=000]: REFRESH Command -# [274237508000] [DWR=000]: REFRESH Command -# [274237508000] [DWR=000]: REFRESH Command -# [274868 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [282042508000] [DWR=000]: REFRESH Command -# [282042508000] [DWR=000]: REFRESH Command -# [284644 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [289847508000] [DWR=000]: REFRESH Command -# [289847508000] [DWR=000]: REFRESH Command -# [293732 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [297652508000] [DWR=000]: REFRESH Command -# [297652508000] [DWR=000]: REFRESH Command -# [303740 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [305457508000] [DWR=000]: REFRESH Command -# [305457508000] [DWR=000]: REFRESH Command -# [313262508000] [DWR=000]: REFRESH Command -# [313262508000] [DWR=000]: REFRESH Command -# [313724 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [321067508000] [DWR=000]: REFRESH Command -# [321067508000] [DWR=000]: REFRESH Command -# [323644 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [328872508000] [DWR=000]: REFRESH Command -# [328872508000] [DWR=000]: REFRESH Command -# [332900 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [336677508000] [DWR=000]: REFRESH Command -# [336677508000] [DWR=000]: REFRESH Command -# [342668 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [344482508000] [DWR=000]: REFRESH Command -# [344482508000] [DWR=000]: REFRESH Command -# [351940 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [352287508000] [DWR=000]: REFRESH Command -# [352287508000] [DWR=000]: REFRESH Command -# [360092508000] [DWR=000]: REFRESH Command -# [360092508000] [DWR=000]: REFRESH Command -# [361924 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [367897508000] [DWR=000]: REFRESH Command -# [367897508000] [DWR=000]: REFRESH Command -# [371772 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [375702508000] [DWR=000]: REFRESH Command -# [375702508000] [DWR=000]: REFRESH Command -# [381652 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [383507508000] [DWR=000]: REFRESH Command -# [383507508000] [DWR=000]: REFRESH Command -# [391092 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [391312508000] [DWR=000]: REFRESH Command -# [391312508000] [DWR=000]: REFRESH Command -# [399117508000] [DWR=000]: REFRESH Command -# [399117508000] [DWR=000]: REFRESH Command -# [400612 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [406922508000] [DWR=000]: REFRESH Command -# [406922508000] [DWR=000]: REFRESH Command -# [410524 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [414727508000] [DWR=000]: REFRESH Command -# [414727508000] [DWR=000]: REFRESH Command -# [420364 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [422532508000] [DWR=000]: REFRESH Command -# [422532508000] [DWR=000]: REFRESH Command -# [430300 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [430337508000] [DWR=000]: REFRESH Command -# [430337508000] [DWR=000]: REFRESH Command -# [438142508000] [DWR=000]: REFRESH Command -# [438142508000] [DWR=000]: REFRESH Command -# [439844 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [445947508000] [DWR=000]: REFRESH Command -# [445947508000] [DWR=000]: REFRESH Command -# [449564 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [453752508000] [DWR=000]: REFRESH Command -# [453752508000] [DWR=000]: REFRESH Command -# [459420 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [461557508000] [DWR=000]: REFRESH Command -# [461557508000] [DWR=000]: REFRESH Command -# [469276 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [469362508000] [DWR=000]: REFRESH Command -# [469362508000] [DWR=000]: REFRESH Command -# [477167508000] [DWR=000]: REFRESH Command -# [477167508000] [DWR=000]: REFRESH Command -# [479164 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [484972508000] [DWR=000]: REFRESH Command -# [484972508000] [DWR=000]: REFRESH Command -# [488820 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000 -# [492777508000] [DWR=000]: REFRESH Command -# [492777508000] [DWR=000]: REFRESH Command -# [498508 ns ] $UNB/Software/python/sim/UNB_0_FN_0_REG_IO_DDR_MB_I.ctrl: Reading from address 0x00000000: 0x00000000