From e4ea44b80497486ccb4ff7f27d025f9e1dae7dcb Mon Sep 17 00:00:00 2001
From: Eric Kooistra <kooistra@astron.nl>
Date: Wed, 9 Aug 2023 13:07:51 +0200
Subject: [PATCH] Show dbg_bsn_offset when payload error is inferred.

---
 .../lofar2/libraries/sdp/src/vhdl/sdp_beamformer_output.vhd    | 3 +++
 1 file changed, 3 insertions(+)

diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_output.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_output.vhd
index 1fa173a5e2..2ba6c2fb7a 100644
--- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_output.vhd
+++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_beamformer_output.vhd
@@ -103,6 +103,7 @@ architecture str of sdp_beamformer_output is
   signal dp_pipeline_ready_src_out : t_dp_sosi;
   signal dp_pipeline_ready_src_in  : t_dp_siso;
 
+  signal dbg_bsn_offset        : std_logic;
   signal payload_err        : std_logic_vector(0 downto 0);
   signal station_info       : std_logic_vector(15 downto 0) := (others => '0');
 
@@ -126,6 +127,7 @@ begin
     -- synthesis translate_off
     -- Force BSN error in simulation to verify payload error in tb_lofar2_unb2c_sdp_station_bf.vhd,
     -- this will cause two times payload errors, one when BSN goes wrong and one when BSN goes ok again.
+    dbg_bsn_offset <= '0';
     if v_ref_time = 0 ns then
       if in_sosi.sop = '1' then
         -- Use start of input as reference time, rather than e.g. fixed 50 us, to be
@@ -146,6 +148,7 @@ begin
       --             is restored after first block, so the merged blocks do not have
       --             incrementing bsn
       -- . index >= 5 : bsn ok and payload_error = '0'.
+      dbg_bsn_offset <= '1';
       snk_in_concat.bsn <= INCR_UVEC(in_sosi.bsn, 1);
     end if;
     -- synthesis translate_on
-- 
GitLab